From Gas Or Vapor, E.g., Condensation (epo) Patents (Class 257/E21.478)
  • Patent number: 7563731
    Abstract: By increasing the transistor topography after forming a first layer of highly stressed dielectric material, additional stressed material may be added, thereby efficiently increasing the entire layer thickness of the stressed dielectric material. The corresponding increase of device topography may be accomplished on the basis of respective placeholder structures or dummy gates, wherein well-established gate patterning processes may be used or wherein nano-imprint techniques may be employed. Hence, in some illustrative embodiments, a significant increase of strain may be obtained on the basis of well-established process techniques.
    Type: Grant
    Filed: April 24, 2007
    Date of Patent: July 21, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christoph Schwan, Manfred Horstmann, Kai Frohberg, Rolf Stephan
  • Publication number: 20090170345
    Abstract: To form an insulating film with extremely low concentration of impurities such as carbon, hydrogen, nitrogen, chlorine, etc in a film. There are provided the steps of forming a specific element-containing layer on a substrate by supplying source gas containing a specific element into a processing container in which the substrate is accommodated; changing the specific element-containing layer into a nitride layer, by activating and supplying gas containing nitrogen into the processing container; and changing the nitride layer into an oxide layer or an oxynitride layer, by activating and supplying gas containing oxygen into the processing container; with this cycle set as one cycle and performed for at least one or more times.
    Type: Application
    Filed: December 22, 2008
    Publication date: July 2, 2009
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Naonori Akae, Yoshiro Hirose
  • Publication number: 20090170311
    Abstract: A method for fabricating a contact in a semiconductor device includes forming an insulating film having a contact hole over a bottom film, forming a thin metal film in the exposed portion of the bottom film by supplying a reaction gas containing a metal component to a surface of the bottom film exposed by the contact hole, forming a metal silicide film by performing an annealing process on the thin metal film, and forming a metal film over the metal silicide film to fill the contact hole.
    Type: Application
    Filed: December 30, 2008
    Publication date: July 2, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Choon Hwan Kim, Kyoung Bong Routh, II Cheol Rho
  • Publication number: 20090163024
    Abstract: A method of depositing includes: loading a substrate into a reactor; and conducting a plurality of atomic layer deposition cycles on the substrate in the reactor. At least one of the cycles includes steps of: supplying a ruthenium precursor to the reactor; supplying a purge gas to the reactor; and supplying non-plasma ammonia gas to the reactor after supplying the ruthenium precursor. The method allows formation of a ruthenium layer having an excellent step-coverage at a relatively low deposition temperature at a relatively high deposition rate. In situ isothermal deposition of barrier materials, such as TaN at 200-300° C., is also facilitated.
    Type: Application
    Filed: December 17, 2008
    Publication date: June 25, 2009
    Applicant: ASM GENITECH KOREA LTD.
    Inventors: Jeon Ho Kim, Hyung Sang Park, Seung Woo Choi, Dong Rak Jung, Chun Soo Lee
  • Publication number: 20090156002
    Abstract: A wafer is placed on a lower electrode disposed in a reaction chamber; process gas is introduced into the reaction chamber; a magnetic field is applied at a position spaced from a surface of the wafer to be processed; plasma is generated by applying a high-frequency voltage between the lower electrode and an upper electrode disposed to face the lower electrode; the magnetic field is removed after the plasma is stabilized; and the wafer is plasma-processed.
    Type: Application
    Filed: December 9, 2008
    Publication date: June 18, 2009
    Inventors: Keiji Fujita, Hisashi Kaneko
  • Publication number: 20090140303
    Abstract: A semiconductor device and a method for manufacturing the same includes forming a via pattern having a matrix form in a dielectric layer. The via pattern includes a via slit provided at the center of the via pattern and a plurality of via holes provided at an outer periphery of the via pattern and surrounding the via slit. Metal plugs are formed in the via holes.
    Type: Application
    Filed: December 3, 2008
    Publication date: June 4, 2009
    Inventor: Chee-Hong Choi
  • Publication number: 20090124077
    Abstract: A poly-silicon film formation method for forming a poly-silicon film doped with phosphorous or boron includes heating a target substrate placed in a vacuum atmosphere inside a reaction container, and supplying into the reaction container a silicon film formation gas, a doping gas for doping a film with phosphorous or boron, and a grain size adjusting gas containing a component to retard columnar crystal formation from a poly-silicon crystal and to promote miniaturization of the poly-silicon crystal, thereby depositing a silicon film doped with phosphorous or boron on the target substrate.
    Type: Application
    Filed: October 8, 2008
    Publication date: May 14, 2009
    Inventors: Mitsuhiro Okada, Takahiro Miyahara, Toshiharu Nishimura
  • Publication number: 20090111264
    Abstract: In one embodiment, a method for depositing materials on a substrate is provided which includes forming a titanium nitride barrier layer on the substrate by sequentially exposing the substrate to a titanium precursor containing a titanium organic compound and a nitrogen plasma formed from a mixture of nitrogen gas and hydrogen gas. In another embodiment, the method includes exposing the substrate to the deposition gas containing the titanium organic compound to form a titanium-containing layer on the substrate, and exposing the titanium-containing layer disposed on the substrate to a nitrogen plasma formed from a mixture of nitrogen gas and hydrogen gas. The method further provides depositing a conductive material containing tungsten or copper over the substrate during a vapor deposition process. In some examples, the titanium organic compound may contain methylamido or ethylamido, such as tetrakis(dimethylamido)titanium, tetrakis(diethylamido)titanium, or derivatives thereof.
    Type: Application
    Filed: January 5, 2009
    Publication date: April 30, 2009
    Inventors: MICHAEL X. YANG, Toshio Itoh, Ming Xi
  • Publication number: 20090104774
    Abstract: This invention relates to a method of manufacturing a semiconductor device. In this method, a semiconductor device is provided comprising a substrate (10), the substrate (10) being covered with a low-k precursor layer (20) having a surface (25). After this step, a partial curing step is performed in which a dense layer (30) is formed at or near the surface (25) of a low-k precursor layer (20). This dense layer (30) can act as a protective layer (30). The low-k precursor material (20) is chosen from a group of materials having the property that they are applicable in a non-cured or partially cured state. The main advantage of this method is that no separate protective layer (30) needs to be provided to the low-k precursor layer (20), because the dense layer (30) is formed out of the low-k precursor layer (20) itself. The dense layer (30) therefore has a good adhesion to the low-k precursor layer (20).
    Type: Application
    Filed: January 25, 2006
    Publication date: April 23, 2009
    Applicant: NXP B.V.
    Inventors: Yukiko Furukawa, John MacNeil
  • Publication number: 20090104766
    Abstract: The present invention provides a method of forming a micro metal bump, which is capable of stably and industrially forming a micro metal bump, by a gas deposition process, at a prescribed position of a metal part formed on one side surface of a substrate.
    Type: Application
    Filed: March 30, 2007
    Publication date: April 23, 2009
    Inventors: Yoshihiro Gomi, Masahiro Aoyagi, Hiroshi Nakagawa, Katsuya Kikuchi, Yoshikuni Okada, Hirotaka Oosato
  • Patent number: 7476619
    Abstract: An object of the invention is to make it possible to perform the embedding of a Cu diffusion preventing film and a Cu film to a fine pattern of a high aspect ratio by using a medium of a supercritical state in a manufacturing process of a semiconductor device. The object of the invention is achieved by a substrate processing method comprising a first step of processing a substrate by supplying a first processing medium containing a first medium of a supercritical state onto the substrate, a second step of forming a Cu diffusion preventing film on the substrate by supplying a second processing medium containing a second medium of a supercritical state onto the substrate, and a third step of forming a Cu film on the substrate by supplying a third processing medium containing a third medium of a supercritical state onto the substrate.
    Type: Grant
    Filed: December 26, 2003
    Date of Patent: January 13, 2009
    Assignees: Tokyo Electron Limited
    Inventors: Eiichi Kondoh, Vincent Vezin, Kenichi Kubo, Yoshinori Kureishi, Tomohiro Ohta
  • Publication number: 20080315422
    Abstract: Methods and apparatuses for fabricating three-dimensional integrated circuits having through hole vias are provided. One aspect of the present invention is a method of gapfill for through hole vias for three-dimensional integrated circuits. The method comprises providing a semiconductor wafer having a plurality of holes for through hole vias and depositing a conformal metal layer to partially fill the holes to leave open voids. The method also includes purging the voids and cleaning the surface of the voids and using a dry deposition process to fill or close the voids. Another aspect of the present invention is an electronic device structure for a three-dimensional integrated circuit.
    Type: Application
    Filed: June 20, 2007
    Publication date: December 25, 2008
    Inventors: John Boyd, Fritz Redeker, Yezdi Dordi, Hyungsuk Alexander Yoon, Shijian Li
  • Patent number: 7465681
    Abstract: The invention is directed to preparing optical elements having a thin, smooth, dense coating or film thereon, and a method for making such coating or film. The coated element has a surface roughness of <1.0 nm rms. The coating materials include hafnium oxide or a mixture of hafnium oxide and another oxide material, for example silicon dioxide. The method includes the use of a reverse mask to deposit the coating or film on a rotating substrate.
    Type: Grant
    Filed: August 25, 2006
    Date of Patent: December 16, 2008
    Assignee: Corning Incorporated
    Inventors: Gary Allen Hart, Robert LeRoy Maier, Jue Wang
  • Publication number: 20080171436
    Abstract: Cyclical methods of depositing a ruthenium film on a substrate are provided. In one process, each cycle includes supplying a ruthenium organometallic compound gas to the reactor; purging the reactor; supplying a ruthenium tetroxide (RuO4) gas to the reactor; and purging the reactor. In another process, each cycle includes simultaneously supplying RuO4 and a reducing agent gas; purging; and supplying a reducing agent gas. The methods provide a high deposition rate while providing good step coverage over structures having a high aspect ratio.
    Type: Application
    Filed: January 10, 2008
    Publication date: July 17, 2008
    Applicant: ASM Genitech Korea Ltd.
    Inventors: Wonyong Koh, Chun Soo Lee
  • Publication number: 20080160762
    Abstract: In order to avoid the contamination of a seed layer, which is typically highly reactive with the external atmosphere, during the formation of interconnect structures in a semiconductor device, a protective layer is formed. The protective layer may be comprised of oxide formed in an oxidizing ambient prior to transporting the semiconductor device to a subsequent process tool.
    Type: Application
    Filed: July 16, 2007
    Publication date: July 3, 2008
    Inventors: Frank Feustel, Thomas Werner, Kai Frohberg
  • Publication number: 20080124923
    Abstract: Disclosed is a method of fabricating a semiconductor device, capable of improving the reliability of a semiconductor device. The method of fabricating the semiconductor device comprises forming a cobalt layer on an entire surface of a semiconductor substrate including a transistor structure, forming a cobalt nitride layer on the cobalt layer, performing a first rapid thermal processing to form CoSi, removing a non-reactive cobalt layer and a non-reactive cobalt nitride layer, and performing a second rapid thermal processing to form CoSi2.
    Type: Application
    Filed: October 30, 2007
    Publication date: May 29, 2008
    Inventor: DONG KI JEON
  • Patent number: 7354872
    Abstract: Methods of forming a high dielectric constant dielectric layer are disclosed including providing a process chamber including a holder for supporting a substrate, introducing a first gas comprising a high dielectric constant (Hi-K) dielectric precursor and an oxygen (O2) oxidant into the process chamber to form a first portion of the high dielectric constant dielectric layer on the substrate, and switching from a flow of the first gas to a flow of a second gas comprising the Hi-K dielectric precursor and an ozone (O3) oxidant to form a second portion of the high dielectric constant dielectric layer on the first portion. In an alternative embodiment, another portion can be formed on the second portion using the oxygen oxidant. The invention increases throughput by at least 20% without reliability or leakage degradation and without the need for additional equipment.
    Type: Grant
    Filed: May 26, 2005
    Date of Patent: April 8, 2008
    Assignee: International Business Machines Corporation
    Inventors: Douglas D. Coolbaugh, Ebenezer E. Eshun, Kenneth J. Stein, Kunal Vaed
  • Patent number: 7294574
    Abstract: An integrated sputtering method and reactor for copper or aluminum seed layers in which a plasma sputter reactor initially deposits a thin conformal layer onto a substrate including a high-aspect ratio hole subject to the formation of overhangs. After the seed deposition, the same sputter reactor is used to sputter etch the substrate with energetic light ions, especially helium, having an energy sufficiently low that it selectively etches the metallization to the heavier underlying barrier layer, for example, copper over tantalum or aluminum over titanium. An RF inductive coil generates the plasma during the sputtering etching while the target power is turned off. A final copper flash step deposits copper over the bare barrier field region before copper is electrochemically plated to fill the hole. The invention also includes a simultaneous sputter deposition and sputter etch, and an energetic ion processing of the copper seed sidewall.
    Type: Grant
    Filed: August 9, 2004
    Date of Patent: November 13, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Peijun Ding, Fuhong Zhang, Hsien-Lung Yang, Michael A. Miller, Jianming Fu, Jick M. Yu, Zheng Xu, Fusen Chen
  • Publication number: 20070224812
    Abstract: A pattern film forming method includes a step of producing a transfer sheet in which a thin film is formed on a surface of a sheet-shaped material and a step of pressing the thin film against a pattern film formation surface of the substrate with a pressing member having convex portions corresponding to the pattern film from a reverse surface of the transfer sheet opposite to the thin film or a reverse surface of the substrate opposite to the pattern film formation surface to transfer the thin film to the substrate. A pattern film forming apparatus includes a sheet supply device, a pressing device and a substrate transport device. A high-definition pattern film having a desired pattern and a sharp edge can be formed with high productivity.
    Type: Application
    Filed: May 16, 2007
    Publication date: September 27, 2007
    Inventors: Jun Fujinawa, Junji Nakada, Norio Shibata, Takashi Kataoka
  • Patent number: 7253123
    Abstract: A method for forming sidewall spacers on a gate stack by depositing one or more layers of silicon containing materials using PECVD process(es) on a gate structure to produce a spacer having an overall k value of about 3.0 to about 5.0. The silicon containing materials may be silicon carbide, oxygen doped silicon carbide, nitrogen doped silicon carbide, carbon doped silicon nitride, nitrogen doped silicon oxycarbide, or combinations thereof. The deposition is performed in a plasma enhanced chemical vapor deposition chamber and the deposition temperature is less than 450° C. The sidewall spacers so produced provide good capacity resistance, as well as excellent structural stability and hermeticity.
    Type: Grant
    Filed: January 10, 2005
    Date of Patent: August 7, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Reza Arghavani, Michael Chiu Kwan, Li-Qun Xia, Kang Sub Yim
  • Patent number: 7115534
    Abstract: Methods are provided for depositing a dielectric material for use as an anti-reflective coating and sacrificial dielectric material in damascene formation. In one aspect, a process is provided for processing a substrate including depositing an acidic dielectric layer on the substrate by reacting an oxygen-containing organosilicon compound and an acidic compound, depositing a photoresist material on the acidic dielectric layer, and patterning the photoresist layer. The acidic dielectric layer may be used as a sacrificial layer in forming a feature definition by etching a partial feature definition, depositing the acidic dielectric material, etching the remainder of the feature definition, and then removing the acidic dielectric material to form a feature definition.
    Type: Grant
    Filed: May 18, 2004
    Date of Patent: October 3, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Son Van Nguyen, Michael D. Armacost, Mehul Naik, Girish A. Dixit, Ellie Y. Yieh