Etching Layer (epo) Patents (Class 257/E21.49)
  • Patent number: 10833268
    Abstract: Devices and/or methods that facilitate forming a resistive memory crossbar array with a multilayer hardmask are provided. In some embodiments, a resistive random access memory (RRAM) can comprise a multilayer hardmask comprising three layers, an interlayer oxide between a first layer of silicon nitride and a second layer of silicon nitride. In other embodiments, an RRAM can comprise a multilayer hardmask comprising two layers, a layer of an oxide on a layer of silicon nitride.
    Type: Grant
    Filed: February 27, 2019
    Date of Patent: November 10, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Hiroyuki Miyazoe, Takashi Ando, Asit Ray, Seyoung Kim
  • Patent number: 10665540
    Abstract: A method of forming an integrated circuit (IC) package with improved performance and reliability is disclosed. The method includes forming a singulated IC die, coupling the singulated IC die to a carrier substrate, and forming a routing structure. The singulated IC die has a conductive via and the conductive via has a peripheral edge. The routing structure has a conductive structure coupled to the conductive via. The routing structure further includes a cap region overlapping an area of the conductive via, a routing region having a first width from a top-down view, and an intermediate region having a second width from the top-down view along the peripheral edge of the conductive via. The intermediate region is arranged to couple the cap region to the routing region and the second width is greater than the first width.
    Type: Grant
    Filed: July 24, 2019
    Date of Patent: May 26, 2020
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jie Chen, Ying-Ju Chen, Hsien-Wei Chen, Der-Chyang Yeh, Chen-Hua Yu
  • Patent number: 10326004
    Abstract: A method is provided for use in forming a fin of a FinFET for an integrated circuit. The method comprises the steps of forming a hard mask on a substrate; forming an opening in the hard mask with a portion of the substrate exposed therein; forming a buffer on the exposed substrate within the opening in the hard mask; forming a mandrel at least in part on the buffer within the opening in the hard mask; forming a channel on a top and sides of the mandrel; removing the channel formed on the top of the mandrel without removing the channel formed on the sides of the mandrel; and removing the mandrel without removing the channel formed on the sides of the mandrel.
    Type: Grant
    Filed: December 20, 2017
    Date of Patent: June 18, 2019
    Assignee: International Business Machines Corporation
    Inventor: Effendi Leobandung
  • Patent number: 10256167
    Abstract: A semiconductor structure includes a field effect transistor located on a semiconductor substrate, a silicon oxide liner contacting at least a portion of the semiconductor substrate, a silicon nitride liner contacting a top surface and a sidewall of the silicon oxide liner and contacting a top surface of the semiconductor substrate in a seal region, a silicon nitride diffusion barrier layer including a planar bottom surface that contacts top surfaces of vertically extending portions of the silicon nitride liner, and a silicon oxide material portion overlying the silicon nitride diffusion barrier layer. A combination of the silicon nitride liner and the silicon nitride diffusion barrier layer constitutes a hydrogen diffusion barrier structure that continuously extends from the seal region and over the field effect transistor.
    Type: Grant
    Filed: March 23, 2018
    Date of Patent: April 9, 2019
    Assignee: SANDISK TECHNOLOGIES LLC
    Inventors: Noritaka Fukuo, Hokuto Kodate, Eiichi Fujikura, Akinori Yutani, Kengo Miura, Masaomi Koizumi, Hidehito Koseki
  • Patent number: 9018097
    Abstract: A method of forming an interconnect structure for a semiconductor device includes forming a lower antireflective coating layer over a dielectric layer; forming an organic planarizing layer on the lower antireflective coating layer; transferring a wiring pattern through the organic planarizing layer; transferring the wiring pattern through the lower antireflective coating layer; and transferring the wiring pattern through the dielectric layer, wherein unpatterned portions of the lower antireflective coating layer serve as an etch stop layer so as to prevent any bubble defects present in the organic planarizing layer from being transferred to the dielectric layer.
    Type: Grant
    Filed: October 10, 2012
    Date of Patent: April 28, 2015
    Assignee: International Business Machines Corporation
    Inventors: Thomas W. Dyer, Hanako Henry, Tze-Man Ko, Yiheng Xu, Shaoning Yao
  • Patent number: 8975749
    Abstract: A method of making a semiconductor device includes forming a dielectric layer over a semiconductor substrate. The method further includes forming a copper-containing layer in the dielectric layer, wherein the copper-containing layer has a first portion and a second portion. The method further includes forming a first barrier layer between the first portion of the copper-containing layer and the dielectric layer. The method further includes forming a second barrier layer at a boundary between the second portion of the copper-containing layer and the dielectric layer wherein the second barrier layer is adjacent to an exposed portion of the dielectric layer. The first barrier layer is a dielectric layer, and the second barrier layer is a metal oxide layer, and a boundary between a sidewall of the copper-containing layer and the first barrier layer is free of the second barrier layer.
    Type: Grant
    Filed: January 10, 2014
    Date of Patent: March 10, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Nai-Wei Liu, Zhen-Cheng Wu, Cheng-Lin Huang, Po-Hsiang Huang, Yung-Chih Wang, Shu-Hui Su, Dian-Hau Chen, Yuh-Jier Mii
  • Patent number: 8836088
    Abstract: A semiconductor structure includes a substrate, a conductive feature over the substrate, a conductive plug structure contacting a portion of an upper surface of the conductive feature, a first etch stop layer over another portion of the upper surface of the conductive feature, and a second etch stop layer over the first etch stop layer. The first etch stop layer is a doped etch stop layer. The first etch stop layer is to function as an etch stop layer during a predetermined etching process for etching the second etch stop layer.
    Type: Grant
    Filed: July 24, 2013
    Date of Patent: September 16, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Mei-Hsuan Lin, Chih-Hsun Lin, Chih-Kang Chao, Ling-Sung Wang
  • Patent number: 8765585
    Abstract: Each gate structure formed on the substrate includes a gate dielectric, a gate conductor, a first etch stop layer, and a gate cap dielectric. A second etch stop layer is formed over the gate structures, gate spacers, and source and drain regions. A first contact-level dielectric layer and a second contact-level dielectric layer are formed over the second etch stop layer. Gate contact via holes extending at least to the top surface of the gate cap dielectrics are formed. Source/drain contact via holes extending to the interface between the first and second contact-level dielectric layers are subsequently formed. The various contact via holes are vertically extended by simultaneously etching exposed gate cap dielectrics and exposed portions of the first contact-level dielectric layer, then by simultaneously etching the first and second etch stop layers. Source/drain contact vias self-aligned to the outer surfaces gate spacers are thereby formed.
    Type: Grant
    Filed: April 28, 2011
    Date of Patent: July 1, 2014
    Assignee: International Business Machines Corporation
    Inventors: Su C. Fan, David V. Horak, Charles W. Koburger, III, Shom Ponoth, Chih-Chao Yang
  • Patent number: 8723287
    Abstract: An object of the present invention is to provide a thermal airflow sensor that prevents moisture absorption by a silicon oxide film formed closest to a surface (formed to be located on an uppermost portion), and that reduces a measuring error. In order to attain the foregoing object, the thermal airflow sensor according to the present invention applies an ion implantation to a silicon oxide film 4, formed closest to a surface (formed to be located on an uppermost portion), by using an atom or molecule selected from at least any one of silicon, oxygen, and an inert element such as argon or nitrogen, in order to increase a concentration of an atom contained in the silicon oxide film 4 more than that before the ion implantation.
    Type: Grant
    Filed: July 6, 2011
    Date of Patent: May 13, 2014
    Assignee: Hitachi Automotive Systems, Ltd.
    Inventors: Norio Ishitsuka, Rintaro Minamitani, Keiji Hanzawa
  • Patent number: 8653664
    Abstract: A copper interconnect includes a copper layer formed in a dielectric layer, having a first portion and a second portion. A first barrier layer is formed between the first portion of the copper layer and the dielectric layer. A second barrier layer is formed at the boundary between the second portion of the copper layer and the dielectric layer. The first barrier layer is a dielectric layer, and the second barrier layer is a metal oxide layer.
    Type: Grant
    Filed: July 8, 2010
    Date of Patent: February 18, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Nai-Wei Liu, Zhen-Cheng Wu, Cheng-Lin Huang, Po-Hsiang Huang, Yung-Chih Wang, Shu-Hui Su, Dian-Hau Chen, Yuh-Jier Mii
  • Patent number: 8643005
    Abstract: An organic light emitting display device includes a substrate, a transparent electrode layer, a source/drain layer, an IGZO semiconductor layer, a first insulating layer, a gate layer, a second insulating layer and an organic light emitting diode. The organic light-emitting display device can have a simplified manufacturing process. In addition, the present invention also provides a method for manufacturing the organic light-emitting display device.
    Type: Grant
    Filed: November 18, 2010
    Date of Patent: February 4, 2014
    Assignee: E Ink Holdings Inc.
    Inventors: Sung-Hui Huang, Wei-Chou Lan, Chia-Chun Yeh, Ted-Hong Shinn
  • Patent number: 8445329
    Abstract: Various circuit boards and methods of manufacturing the same are disclosed. In one aspect, a method of manufacturing is provided that includes forming a first interconnect layer of a circuit board. The first interconnect layer includes a first conductor structure. A first via is formed in the first interconnect layer and in electrical contact with the first conductor structure. The first via has a first oval footprint.
    Type: Grant
    Filed: September 30, 2009
    Date of Patent: May 21, 2013
    Assignee: ATI Technologies ULC
    Inventors: Andrew K W Leung, Neil McLellan
  • Publication number: 20130023125
    Abstract: Substrate processing systems and methods for etching an atomic layer are disclosed. The methods and systems are configured to introducing a first gas into the chamber, the gas being an etchant gas suitable for etching the layer and allowing the first gas to be present in the chamber for a period of time sufficient to cause adsorption of at least some of the first gas into the layer. The first gas is substantially replaced in the chamber with an inert gas, and metastables are then generated from the inert gas to etch the layer with the metastables while substantially preventing the plasma charged species from etching the layer.
    Type: Application
    Filed: July 20, 2011
    Publication date: January 24, 2013
    Inventor: Harmeet Singh
  • Patent number: 8338951
    Abstract: A metal line in a semiconductor device includes an insulation layer formed on a semiconductor substrate. A metal line forming region is formed in the insulation layer. A metal line is formed to fill the metal line forming region of the insulation layer. And a diffusion barrier that includes an amorphous TaBN layer is formed between the metal line and the insulation layer. The amorphous TaBN layer prevents a copper component from diffusing into the semiconductor substrate, thereby improving upon the characteristics and the reliability of a device.
    Type: Grant
    Filed: June 3, 2011
    Date of Patent: December 25, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventors: Dong Ha Jung, Seung Jin Yeom, Baek Mann Kim, Young Jin Lee, Jeong Tae Kim
  • Publication number: 20120273786
    Abstract: The problem to be solved by the present invention is to provide such an organic surface protective layer composition that a thin and uniform protective layer can be formed on a surface of an organic layer, that the formed protective layer can easily be removed by etching, and that it can inhibit the alteration of the organic compound presenting in the surface of the organic layer exposed by the etching. Means for solving the problem is an organic surface protective layer composition containing (A) a metal alkoxide, (B) a stabilizer for the metal alkoxide and (C) an organic solvent capable of dissolving the metal alkoxide.
    Type: Application
    Filed: October 27, 2010
    Publication date: November 1, 2012
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventor: Isao Yahagi
  • Publication number: 20120068172
    Abstract: An organic light emitting display device includes a substrate, a transparent electrode layer, a source/drain layer, an IGZO semiconductor layer, a first insulating layer, a gate layer, a second insulating layer and an organic light emitting diode. The organic light-emitting display device can have a simplified manufacturing process. In addition, the present invention also provides a method for manufacturing the organic light-emitting display device.
    Type: Application
    Filed: November 18, 2010
    Publication date: March 22, 2012
    Applicant: E Ink Holdings Inc.
    Inventors: SUNG-HUI HUANG, Wei-Chou Lan, Chia-Chun Yeh, Ted-Hong Shinn
  • Publication number: 20120021605
    Abstract: In a semiconductor device producing method according to one embodiment, an insulating film containing silicon is formed on a semiconductor substrate, a resist is deposited on the insulating film, the resist is patterned into a predetermined pattern, and the insulating film is processed by a dry etching treatment in which gas containing C, F, Br, H, and O is used with the resist having the predetermined pattern as a mask. A deposited film in which C and Br are coupled is produced on the resist.
    Type: Application
    Filed: January 25, 2011
    Publication date: January 26, 2012
    Inventors: Mitsuhiro OMURA, Yumi Ohno, Takaya Matsushita, Tokuhisa Ohiwa
  • Publication number: 20110312127
    Abstract: An object is to provide a method for manufacturing a semiconductor device including an oxide semiconductor and having improved electric characteristics. The semiconductor device includes an oxide semiconductor film, a gate electrode overlapping the oxide semiconductor film, and a source electrode and a drain electrode electrically connected to the oxide semiconductor film. The method includes the steps of forming a first insulating film including gallium oxide over and in contact with the oxide semiconductor film; forming a second insulating film over and in contact with the first insulating film; forming a resist mask over the second insulating film; forming a contact hole by performing dry etching on the first insulating film and the second insulating film; removing the resist mask by ashing using oxygen plasma; and forming a wiring electrically connected to at least one of the gate electrode, the source electrode, and the drain electrode through the contact hole.
    Type: Application
    Filed: June 9, 2011
    Publication date: December 22, 2011
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Akihiro Ishizuka, Yutaka Yonemitsu, Shinya Sasagawa
  • Patent number: 8013445
    Abstract: A semiconductor contact structure includes a copper plug formed within a dual damascene, single damascene or other opening formed in a dielectric material and includes a composite barrier layer between the copper plug and the sidewalls and bottom of the opening. The composite barrier layer preferably includes an ALD TaN layer disposed on the bottom and along the sides of the opening although other suitable ALD layers may be used. A barrier material is disposed between the copper plug and the ALD layer. The barrier layer may be a Mn-based barrier layer, a Cr-based barrier layer, a V-based barrier layer, a Nb-based barrier layer, a Ti-based barrier layer, or other suitable barrier layers.
    Type: Grant
    Filed: April 30, 2008
    Date of Patent: September 6, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hsiang-Huan Lee, Ming Han Lee, Ming-Shih Yeh, Chen-Hua Yu
  • Patent number: 8003918
    Abstract: The present invention provides a vertical heat treatment boat that has at least four or more support portions per processing target substrate to be supported, the support portions horizontally supporting the processing target substrate, support auxiliary members on which the processing target substrate is mounted being detachably attached to the four or more support portions, respectively, wherein flatness obtained from all surfaces of the respective support auxiliary members on which the processing target substrate is mounted is adjusted by adjusting thicknesses of the support auxiliary members or interposing spacers between the support portions and the support auxiliary members in accordance with respective shapes of the four or more support portions.
    Type: Grant
    Filed: February 28, 2008
    Date of Patent: August 23, 2011
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventor: Takeshi Kobayashi
  • Publication number: 20110124197
    Abstract: A structure to diminish high voltage instability in a high voltage device when under stress includes an amorphous silicon layer over a field oxide on the high voltage device.
    Type: Application
    Filed: January 28, 2011
    Publication date: May 26, 2011
    Applicant: Fairchild Semiconductor Corporation
    Inventor: Jifa Hao
  • Patent number: 7935560
    Abstract: A method of fabricating a CMOS image sensor comprising an array of active pixel cells. Each active pixel cell includes a substrate; a photosensing device formed at or below a substrate surface for collecting charge carriers in response to incident light; and, one or more light transmissive conductive wire structures formed above the photosensing device, the one or more conductive wire structures being located in an optical path above the photosensing device. The formed light transmissive conductive wire structures provide both an electrical and optical function. An optical function is provided by tailoring the thickness of the conductive wire layer to filter light according to a pixel color scheme. Alternately, the light transmissive conductive wire structures may be formed as a microlens structure providing a light focusing function. Electrical functions for the conductive wire layer include use as a capacitor plate, as a resistor or as an interconnect.
    Type: Grant
    Filed: September 6, 2007
    Date of Patent: May 3, 2011
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, John J. Ellis-Monaghan, Edward J. Nowak
  • Patent number: 7915736
    Abstract: Methods for forming interconnects in microfeature workpieces, and microfeature workpieces having such interconnects are disclosed herein. In one embodiment, a method of forming an interconnect in a microfeature workpiece includes forming a hole extending through a terminal and a dielectric layer to at least an intermediate depth in a substrate of a workpiece. The hole has a first lateral dimension in the dielectric layer and a second lateral dimension in the substrate proximate to an interface between the dielectric layer and the substrate. The second lateral dimension is greater than the first lateral dimension. The method further includes constructing an electrically conductive interconnect in at least a portion of the hole and in electrical contact with the terminal.
    Type: Grant
    Filed: August 2, 2007
    Date of Patent: March 29, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Kyle K. Kirby, William M. Hiatt, Richard L. Stocks
  • Patent number: 7781293
    Abstract: A method of fabricating a semiconductor device includes etching a silicon oxide film, a silicon nitride film, a polycrystalline silicone film, and a gate insulating film in a predetermined pattern including a first opening width corresponding to a first trench and a second opening width corresponding to a second trench, the second opening width being larger than the first opening width, and etching the semiconductor substrate to simultaneously form the first and second trenches so that a first depth of the first trench is equal to a second depth of the second trench, and a first angle between a first side surface and a first bottom surface of the first trench is smaller than a second angle between a second side surface and a second bottom surface of the second trench, and the first trench includes a curved portion at an upper portion of the first side surface.
    Type: Grant
    Filed: December 14, 2006
    Date of Patent: August 24, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takanori Matsumoto
  • Publication number: 20100173496
    Abstract: A method of forming spacers from a non-silicon oxide, silicon containing spacer layer with horizontal surfaces and sidewall surfaces over a substrate is provided. A plasma oxidation treatment is provided to form a silicon oxide coating over the spacer layer, wherein the silicon oxide coating provides a horizontal coating on the horizontal surfaces and sidewall coatings on the sidewall surfaces of the spacer layer. An anisotropic main etch that selectively etches horizontal surfaces of the spacer layer and silicon oxide coating with respect to sidewall surfaces of the spacer layer and the sidewall coatings of the silicon oxide coating is provided. The spacer layer is etched, wherein the sidewall coatings of the silicon oxide coating protect sidewall surfaces of the spacer layer.
    Type: Application
    Filed: January 7, 2009
    Publication date: July 8, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Qinghua Zhong, Sung Cho, Gowri Kamarthy, Linda Braly
  • Patent number: 7605016
    Abstract: Disclosed are a CMOS sensor and a method of fabricating the CMOS sensor. The method includes the steps of: forming a first USG layer on an entire surface of a semiconductor substrate including a cell area and a scribe area; masking the cell area, and then removing the first USG layer formed on the scribe area; forming a SiN layer on the entire surface of the semiconductor substrate; masking the cell area, and then removing the SiN layer formed on the scribe area; forming a second USG layer on the entire surface of the semiconductor substrate; and masking the scribe area, and then removing the second USG layer formed on the cell area. The USG layer is only formed on the scribe layer without the SiN layer, so that SiN particles do not drop onto the USG layer during the sintering process.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: October 20, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Dae Hong Min
  • Patent number: 7572729
    Abstract: A method of manufacturing semiconductor devices, including the steps of forming an insulating layer on a semiconductor substrate in which predetermined structures are formed, and etching the insulating layer to expose a predetermined region of the semiconductor substrate, thereby forming a contact hole, forming an insulating layer on the sides of the contact hole, and forming a conductive layer within the contact hole, forming a contact plug. It is possible to prevent a short problem by sufficiently securing a distance between a drain contact plug and a virtual power line.
    Type: Grant
    Filed: December 8, 2006
    Date of Patent: August 11, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Il Young Kwon
  • Patent number: 7550379
    Abstract: In a method to produce an alignment mark, an oxide layer and sacrificial layer are processed to comprise recesses. The recesses are filled with a filler material. During filling the recesses, a layer of filler material is formed on the sacrificial layer. The layer of filler material is removed by chemical mechanical polishing. The sacrificial layer protects the oxide layer during filling the recesses and removing the layer of filler material. The sacrificial layer is then removed by etching. This provides an unscratched oxide layer with protrusions. The oxide layer with protrusions is covered with a conducting layer whereby the protrusions punch through the oxide layer to form related protrusions. The related protrusions form an alignment mark.
    Type: Grant
    Filed: October 10, 2006
    Date of Patent: June 23, 2009
    Assignee: ASML Netherlands B.V.
    Inventors: Richard Johannes Franciscus Van Haren, Everhardus Cornelis Mos
  • Patent number: 7547640
    Abstract: Different sized features in the array and in the periphery of an integrated circuit are patterned on a substrate in a single step. In particular, a mixed pattern, combining two separately formed patterns, is formed on a single mask layer and then transferred to the underlying substrate. The first of the separately formed patterns is formed by pitch multiplication and the second of the separately formed patterns is formed by conventional photolithography. The first of the separately formed patterns includes lines that are below the resolution of the photolithographic process used to form the second of the separately formed patterns. These lines are made by forming a pattern on photoresist and then etching that pattern into an amorphous carbon layer. Sidewall pacers having widths less than the widths of the un-etched parts of the amorphous carbon are formed on the sidewalls of the amorphous carbon. The amorphous carbon is then removed, leaving behind the sidewall spacers as a mask pattern.
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: June 16, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Mirzafer K. Abatchev, Gurtej Sandhu, Luan Tran, William T. Rericha, D. Mark Durcan
  • Publication number: 20090142921
    Abstract: In a first preferred embodiment of the present invention, conductive features are formed on a first dielectric etch stop layer, and a second dielectric material is deposited over and between the conductive features. A via etch to the conductive features which is selective between the first and second dielectrics will stop on the dielectric etch stop layer, limiting overetch. In a second embodiment, a plurality of conductive features is formed in a subtractive pattern and etch process, filled with a dielectric fill, and then a surface formed coexposing the conductive features and dielectric fill. A dielectric etch stop layer is deposited on the surface, then a third dielectric covers the dielectric etch stop layer. When a contact is etched through the third dielectric, this selective etch stops on the dielectric etch stop layer. A second etch makes contact to the conductive features.
    Type: Application
    Filed: January 30, 2009
    Publication date: June 4, 2009
    Applicant: SanDisk 3D LLC
    Inventor: Christopher J. Petti
  • Publication number: 20090140252
    Abstract: An image sensor and a method of manufacturing the sensor. A method of manufacturing an image sensor may include at least one of: Forming a gate over a semiconductor substrate. Sequentially depositing a plurality of insulating films over the semiconductor substrate and the gate. Removing an upper-most insulating film of the plurality of insulating films by dry etching, thus forming a spacer at sides of the gate. Removing other insulating films by wet etching, while maintaining a bottom-most insulating film of the plurality of insulating films over the semiconductor substrate. Attacks may be prevented on a surface of a semiconductor substrate, making it possible to reduce generation of a dark signal, prevent plasma damage by controlling the thickness of a remaining oxide film with ease, and making it possible to improve yield and resolution of an image.
    Type: Application
    Filed: November 29, 2008
    Publication date: June 4, 2009
    Inventor: Chong-Hoon Shin
  • Patent number: 7531450
    Abstract: Provided is a method of fabricating a semiconductor device having a contact hole with a high aspect-ratio. The method includes: sequentially forming a lower pattern and an upper layer on a semiconductor substrate; sequentially forming a lower mask layer and an upper mask layer on the upper layer; sequentially patterning the lower and upper mask layers to form a hole exposing a top surface of the upper layer on the lower pattern; using the upper mask layer as an etching mask to anisotropically etch the exposed top surface to form an upper contact hole exposing a top surface of the lower pattern; and using the lower mask layer as an etching mask to anisotropically etch the exposed lower pattern to form a lower contact hole in the lower pattern, the lower contact hole extending from the upper contact hole.
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: May 12, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yun-Seung Kang, Jun Seo, Min-Chul Chae, Jae-Seung Hwang, Sung-Un Kwon, Woo-Jin Cho
  • Patent number: 7514375
    Abstract: During bottom filling of high aspect ratio gaps and trenches in an integrated circuit substrate using HDP-CVD, a pulsed HF bias is applied to the substrate. In some embodiments, pulsed HF bias is applied to the substrate during etching operations. The pulsed bias typically has a pulse frequency in a range of about from 500 Hz to 20 kHz and a duty cycle in a range of about from 0.1 to 0.95.
    Type: Grant
    Filed: August 8, 2006
    Date of Patent: April 7, 2009
    Assignee: Novellus Systems, Inc.
    Inventors: Sunil Shanker, Chi-I Lang
  • Patent number: 7507659
    Abstract: A method for fabricating a semiconductor device has forming an opening defined by an inner wall surface in an insulation film, covering said inner wall surface with a Cu—Mn alloy layer, depositing a first Cu layer over said Cu—Mn alloy layer without exposing said Cu—Mn alloy layer to the air, depositing a second Cu layer over said first Cu layer and filling said opening with said second Cu layer, and forming a barrier layer over said inner wall surface as a result of a reaction between Mn in said Cu—Mn alloy layer and said insulation film.
    Type: Grant
    Filed: November 13, 2007
    Date of Patent: March 24, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Nobuyuki Ohtsuka, Noriyoshi Shimizu, Yoshiyuki Nakao
  • Publication number: 20080142929
    Abstract: A porous dielectric element is produced by forming a first dielectric and a second dielectric. The second dielectric is dispersed in the first dielectric. The second dielectric is then removed from the second dielectric by using a chemical dissolution. The removal of the second dielectric from the first dielectric leaves pores in the first dielectric. The pores, which are filled with air, improves the overall dielectric constant of the resulting dielectric element.
    Type: Application
    Filed: December 3, 2007
    Publication date: June 19, 2008
    Applicant: STMicroelectronics S.A.
    Inventors: Simon Jeannot, Laurent Favennec
  • Patent number: 7282447
    Abstract: A process is provided for forming vertical contacts in the manufacture of integrated circuits and devices. The process eliminates the need for precise mask alignment and allows the etch of the contact hole to be controlled independent of the etch of the interconnect trough. The process includes forming an insulating layer on the surface of a substrate; forming an etch stop layer on the surface of the insulating layer; forming an opening in the etch stop layer; etching to a first depth through the opening in the etch stop layer and into the insulating layer to form an interconnect trough; forming a photoresist mask on the surface of the etch stop layer and in the trough; and continuing to etch through the insulating layer until reaching the surface of the substrate to form a contact hole. The process may be repeated during the formation of multilevel metal integrated circuits.
    Type: Grant
    Filed: August 19, 2004
    Date of Patent: October 16, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Charles H Dennison, Trung T. Doan
  • Patent number: 7262134
    Abstract: Methods for forming interconnects in microfeature workpieces, and microfeature workpieces having such interconnects are disclosed herein. In one embodiment, a method of forming an interconnect in a microfeature workpiece includes forming a hole extending through a terminal and a dielectric layer to at least an intermediate depth in a substrate of a workpiece. The hole has a first lateral dimension in the dielectric layer and a second lateral dimension in the substrate proximate to an interface between the dielectric layer and the substrate. The second lateral dimension is greater than the first lateral dimension. The method further includes constructing an electrically conductive interconnect in at least a portion of the hole and in electrical contact with the terminal.
    Type: Grant
    Filed: September 1, 2005
    Date of Patent: August 28, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Kyle K. Kirby, William M. Hiatt, Richard L. Stocks
  • Patent number: 7244637
    Abstract: A process for forming a thermally enhanced Chip On Board semiconductor device with a heat sink is described. In one aspect, a thermally conductive-filled gel elastomer or a silicon elastomeric material or elastomeric material, if the material is to be removed, is applied to the die surface to which the heat sink is to be bonded. During the subsequent glob top application and curing steps, difficult-to-remove glob top material which otherwise may be misapplied to the die surface adheres to the upper surface of the elastomer material. The elastomer material is removed by peeling prior to adhesion bonding of the heat sink to the die. In another aspect, the thermally conductive-filled gel elastomer is applied between a die surface and the inside attachment surface of a crap-style heat sink to eliminate overpressure on the die/substrate interface.
    Type: Grant
    Filed: July 22, 2003
    Date of Patent: July 17, 2007
    Assignee: Micron Technology, Inc.
    Inventor: David R. Hembree
  • Patent number: 7199059
    Abstract: A method for removing polymer as an etching residue is described. A substrate with polymer as an etching residue thereon is provided, and a hydrogen-containing plasma is used to treat the substrate. A wet clean step is then performed to remove the polymer from the substrate. The treatment using hydrogen-containing plasma can change the chemical property of the polymer, so that the polymer can be removed more easily in the subsequent wet clean step.
    Type: Grant
    Filed: October 26, 2004
    Date of Patent: April 3, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Yi-Fang Cheng, Shan-Jen Yu, Cheng-Kweng Chen, Yu-Ming Huang
  • Patent number: 7169637
    Abstract: A one-mask etching method for use with a PCMO-containing RRAM to reduce stack side-wall residuals, includes preparing a substrate, taken from the group of substrates consisting of silicon, silicon dioxide and polysilicon; depositing a bottom electrode on the substrate; depositing a PCMO layer on the bottom electrode; depositing a top electrode on the PCMO layer; depositing a hard mask on the top electrode; depositing and patterning a photoresist layer on the hard mask; etching the hard mask; etching the top electrode using a first etching process having an etching atmosphere consisting of Ar, O2, and Cl2; etching the PCMO layer using an etching process taken from the group of etching processes consisting of the first etching process and a second etching process having an etching atmosphere consisting of Ar and O2. etching the bottom electrode using the first etching process; and completing the RRAM device.
    Type: Grant
    Filed: July 1, 2004
    Date of Patent: January 30, 2007
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Fengyan Zhang, Lisa H. Stecker, Bruce D. Ulrich, Sheng Teng Hsu
  • Patent number: 7084065
    Abstract: A method for fabricating a semiconductor device that prevents the formation of a side etch caused by fluoride (CFx) produced when a barrier insulating film is etched. As shown in FIG. 1(G), an opening in the shape of a wiring trench is made in an interlayer dielectric. Then, as shown in FIG. 1(H), a barrier insulating film is etched. As a result, fluoride will be produced. By performing plasma etching by the use of gas which contains hydrogen atoms in the following process shown in FIG. 1(I), the fluoride is converted to a highly volatile compound, such as hydrogen fluoride, and is removed.
    Type: Grant
    Filed: November 26, 2002
    Date of Patent: August 1, 2006
    Assignee: Fujitsu Limited
    Inventor: Kenichi Higuchi
  • Patent number: 6887796
    Abstract: The invention relates to a method of manufacturing a semiconductor device comprising the step of removing a silicon and nitrogen containing material by means of wet etching with an aqueous solution comprising hydrofluoric acid in a low concentration, the aqueous solution being applied under elevated pressure to reach a temperature above 100° C.
    Type: Grant
    Filed: April 18, 2002
    Date of Patent: May 3, 2005
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Dirk Maarten Knotter, Johannes Van Wingerden, Madelon Gertruda Josephina Rovers