To Form Insulating Layer Thereon, E.g., For Masking Or By Using Photolithographic Techniques; Post Treatment Of These Layers (epo) Patents (Class 257/E21.487)
  • Patent number: 10381234
    Abstract: Embodiments of the invention provide a processing method for selective film formation for raised and recessed features using deposition and etching processes. According to one embodiment, the method includes providing a substrate having a recessed feature with a sidewall and a bottom portion, and depositing a film in the recessed feature and on a field area around the opening of the recessed feature, where the film is non-conformally deposited with a greater film thickness on the bottom portion than on the sidewall and the field area. The method further includes etching the film in an atomic layer etching (ALE) process in the absence of a plasma, where the etching thins the film on the bottom portion and removes the film from the sidewall and the field area, and repeating the depositing and the etching at least once to increase the film thickness of on the bottom portion.
    Type: Grant
    Filed: October 30, 2018
    Date of Patent: August 13, 2019
    Assignee: Tokyo Electron Limited
    Inventor: Kandabara N. Tapily
  • Patent number: 9960074
    Abstract: A method includes etching a semiconductor substrate to form trenches extending into the semiconductor substrate, and depositing a first dielectric layer into the trenches. The first dielectric layer fills lower portions of the trenches. A Ultra-Violet (UV) treatment is performed on the first dielectric layer in an oxygen-containing process gas. The method further includes depositing a second dielectric layer into the trenches. The second dielectric layer fills upper portions of the trenches. A thermal treatment is performed on the second dielectric layer in an additional oxygen-containing process gas. After the thermal treatment, an anneal is performed on the first dielectric layer and the second dielectric layer.
    Type: Grant
    Filed: September 6, 2016
    Date of Patent: May 1, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tsung Han Hsu, Kuan-Cheng Wang, Han-Ti Hsiaw, Shin-Yeu Tsai
  • Patent number: 9018093
    Abstract: A method for forming a layer constituted by repeated stacked layers includes: forming a first layer and a second layer on a substrate under different deposition conditions to form a stacked layer, wherein the film stresses of the first and second layers are tensile or compressive and opposite to each other, and the wet etch rates of the first and second layers are at least 50 times different from each other; and repeating the above step to form a layer constituted by repeated stacked layers, wherein the deposition conditions for forming at least one stacked layer are different from those for forming another stacked layer.
    Type: Grant
    Filed: January 25, 2013
    Date of Patent: April 28, 2015
    Assignee: ASM IP Holding B.V.
    Inventors: Naoto Tsuji, Fumitaka Shoji
  • Patent number: 8962485
    Abstract: A method of silicide formation in a semiconductor fabrication process is disclosed. An active area (RX) mask is used to form an active silicon area, and is then reused to form a trench transfer (TT) area. A trench block (TB) mask is logically ANDed with the active area (RX) mask to form a trench silicide (TS) region.
    Type: Grant
    Filed: May 20, 2013
    Date of Patent: February 24, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Mohamed Salama, Tuhin Guha Neogi, Scott Beasor
  • Patent number: 8877610
    Abstract: In various embodiments, a method of patterning a substrate may include: forming an auxiliary layer on or above a substrate and forming a plasma etch mask layer on or above the auxiliary layer, wherein the auxiliary layer is configured such that it may be removed from the substrate more easily than the plasma etch mask layer; patterning the plasma etch mask layer and the auxiliary layer such that at least a portion of the substrate is exposed; patterning the substrate by means of a plasma etch process using the patterned plasma etch mask layer as a plasma etch mask.
    Type: Grant
    Filed: June 20, 2011
    Date of Patent: November 4, 2014
    Assignee: Infineon Technologies AG
    Inventor: Manfred Engelhardt
  • Patent number: 8836088
    Abstract: A semiconductor structure includes a substrate, a conductive feature over the substrate, a conductive plug structure contacting a portion of an upper surface of the conductive feature, a first etch stop layer over another portion of the upper surface of the conductive feature, and a second etch stop layer over the first etch stop layer. The first etch stop layer is a doped etch stop layer. The first etch stop layer is to function as an etch stop layer during a predetermined etching process for etching the second etch stop layer.
    Type: Grant
    Filed: July 24, 2013
    Date of Patent: September 16, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Mei-Hsuan Lin, Chih-Hsun Lin, Chih-Kang Chao, Ling-Sung Wang
  • Patent number: 8709853
    Abstract: The present invention provides a method of manufacturing a crystalline silicon solar cell, comprising: —providing a crystalline silicon substrate having a front side and a back side; —forming a thin silicon oxide film on at least one of the front and the back side by soaking the crystalline silicon substrate in a chemical solution; —forming a dielectric coating film on the thin silicon oxide film on at least one of the front and the back side. The thin silicon oxide film may be formed with a thickness of 0.5-10 nm. By forming a oxide layer using a chemical solution, it is possible to form a thin oxide film for surface passivation wherein the relatively low temperature avoids deterioration of the semiconductor layers.
    Type: Grant
    Filed: September 20, 2007
    Date of Patent: April 29, 2014
    Assignee: ECN Energieonderzoek Centrum Nederland
    Inventors: Yuji Komatsu, Lambert Johan Geerligs, Valentin Dan Mihailetchi
  • Patent number: 8618003
    Abstract: Electronic devices can be prepared by forming a patterned thin film on a suitable receiver substrate. A cyanoacrylate polymer is used as a deposition inhibitor material and applied first as a deposition inhibitor material. The deposition inhibitor material can be patterned to provide selected areas on the receiver substrate where the deposition inhibitor is absent. An inorganic thin film is then deposited on the receiver substrate using a chemical vapor deposition technique only in those areas where the deposition inhibitor material is absent. The cyanoacrylate polymer deposition inhibitor material can be applied by thermal transfer from a donor element to a receiver substrate before a patterned thin film is formed.
    Type: Grant
    Filed: December 5, 2011
    Date of Patent: December 31, 2013
    Assignee: Eastman Kodak Company
    Inventors: Mitchell S. Burberry, David H. Levy
  • Patent number: 8592946
    Abstract: An anisotropic wet etch of a semiconductor layer generates facets joined by a ridge running along the center of a pattern in a dielectric hardmask layer on the semiconductor layer. The dielectric hardmask layer is removed and a conformal masking material layer is deposited. Angled ion implantation of Ge, B, Ga, In, As, P, Sb, or inert atoms is performed parallel to each of the two facets joined by the ridge causing damage to implanted portions of the masking material layer, which are removed selective to undamaged portions of the masking material layer along the ridge and having a constant width. The semiconductor layer and a dielectric oxide layer underneath are etched selective to the remaining portions of the dielectric nitride. Employing remaining portions of the dielectric oxide layer as an etch mask, the gate conductor layer is patterned to form gate conductor lines having a constant width.
    Type: Grant
    Filed: March 14, 2011
    Date of Patent: November 26, 2013
    Assignee: International Business Machines Corporation
    Inventor: Huilong Zhu
  • Patent number: 8513754
    Abstract: A solar cell includes a substrate of a first conductive type; an emitter layer that is positioned on the substrate and is a second conductive type that is opposite to the first conductive type; first electrodes that are connected to the emitter layer; and a second electrode that is connected to the substrate, wherein the emitter layer includes a first emitter portion and a second emitter portion, the first electrodes include a finger electrode, and a bus electrode intersecting and connected to the finger electrode, and the first emitter portion and the second emitter portion are positioned under the bus electrode.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: August 20, 2013
    Assignee: LG Electronics Inc.
    Inventor: JaeSung You
  • Patent number: 8487411
    Abstract: A double patterned semiconductor structure is provided. The structure includes a first patterned and cured low-k structure located on a first portion of an antireflective coating, and a second patterned and cured low-k structure located on a second portion of the antireflective coating, wherein the second patterned and cured low-k structure is spaced apart from the first patterned and cured low-k dielectric structure.
    Type: Grant
    Filed: February 28, 2012
    Date of Patent: July 16, 2013
    Assignee: International Business Machines Corporation
    Inventor: Qinghuang Lin
  • Patent number: 8409894
    Abstract: A solid state light emitting semiconductor structure and an epitaxy growth method thereof are provided. The method includes the following steps: A substrate is provided. A plurality of protrusions separated from each other are formed on the substrate. A buffer layer is formed on the protrusions, and fills or partially fills the gaps between the protrusions. A semiconductor epitaxy stacking layer is formed on the buffer layer, wherein the semiconductor epitaxy stacking layer is constituted by a first type semiconductor layer, an active layer and a second type semiconductor layer in sequence.
    Type: Grant
    Filed: April 10, 2012
    Date of Patent: April 2, 2013
    Assignee: Lextar Electronics Corporation
    Inventors: Chang-Chin Yu, Mong-Ea Lin
  • Publication number: 20120282783
    Abstract: A method for fabricating high-k dielectric layer is disclosed. The method includes the steps of: providing a substrate; and forming a plurality of high-k dielectric layers by using a plurality of reacting gases to perform a plurality of process stages on the surface of the substrate, wherein at least one of the reacting gases comprises different flow rate in the fabrication stages.
    Type: Application
    Filed: May 3, 2011
    Publication date: November 8, 2012
    Inventors: Jui-Chen Chang, Chen-Kuo Chiang, Chin-Fu Lin, Chih-Chien Liu
  • Patent number: 8304353
    Abstract: Embodiments disclosed herein pertain to silicon dioxide deposition methods using at least ozone and tetraethylorthosilicate (TEOS) as deposition precursors. In one embodiment, a silicon dioxide deposition method using at least ozone and TEOS as deposition precursors includes flowing precursors comprising ozone and TEOS to a substrate under subatmospheric pressure conditions effective to deposit silicon dioxide-comprising material having an outer surface onto the substrate. The outer surface is treated effective to one of add hydroxyl to or remove hydroxyl from the outer surface in comparison to any hydroxyl presence on the outer surface prior to said treating. After the treating, precursors comprising ozone and TEOS are flowed to the substrate under subatmospheric pressure conditions effective to deposit silicon dioxide-comprising material onto the treated outer surface of the substrate. Other embodiments are contemplated.
    Type: Grant
    Filed: September 28, 2011
    Date of Patent: November 6, 2012
    Assignee: Micron Technology, Inc.
    Inventors: John Smythe, Gurtej S. Sandhu
  • Patent number: 8299565
    Abstract: Prototype semiconductor structures each including a semiconductor link portion and two adjoined pad portions are formed by lithographic patterning of a semiconductor layer on a dielectric material layer. The sidewalls of the semiconductor link portions are oriented to maximize hole mobility for a first-type semiconductor structures, and to maximize electron mobility for a second-type semiconductor structures. Thinning by oxidation of the semiconductor structures reduces the width of the semiconductor link portions at different rates for different crystallographic orientations. The widths of the semiconductor link portions are predetermined so that the different amount of thinning on the sidewalls of the semiconductor link portions result in target sublithographic dimensions for the resulting semiconductor nanowires after thinning.
    Type: Grant
    Filed: March 30, 2011
    Date of Patent: October 30, 2012
    Assignee: International Business Machines Corporation
    Inventors: Lidija Sekaric, Tymon Barwicz, Dureseti Chidambarrao
  • Patent number: 8252697
    Abstract: Methods of forming transparent zinc-tin oxide structures are described. Devices that include transparent zinc-tin oxide structures as at least one of a channel layer in a transistor or a transparent film disposed over an electrical device that is at a substrate.
    Type: Grant
    Filed: May 14, 2007
    Date of Patent: August 28, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 8242004
    Abstract: A method of forming a semiconductor device includes the following processes. A groove is formed in a semiconductor substrate. A first spin-on-dielectric layer is formed over a semiconductor substrate. An abnormal oxidation of the first spin-on-dielectric layer is carried out. A surface of the first spin-on-dielectric layer is removed. A second spin-on-dielectric layer is formed over the first spin-on-dielectric layer. A non-abnormal oxidation of the first and second spin-on-dielectric layers is carried out to modify the second spin-on-dielectric layer without modifying the first spin-on-dielectric layer.
    Type: Grant
    Filed: February 14, 2011
    Date of Patent: August 14, 2012
    Assignee: Elpida Memory, Inc.
    Inventor: Jiro Miyahara
  • Patent number: 8232211
    Abstract: Methods for producing self-aligned, self-assembled sub-ground-rule features without the need to use additional lithographic patterning. Specifically, the present disclosure allows for the creation of assist features that are localized and self-aligned to a given structure. These assist features can either have the same tone or different tone to the given feature.
    Type: Grant
    Filed: January 20, 2011
    Date of Patent: July 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Larry Clevenger, Timothy J. Dalton, Carl J. Radens
  • Patent number: 8227358
    Abstract: Novel silicon precursors for low temperature deposition of silicon films are described herein. The disclosed precursors possess low vaporization temperatures, preferably less than about 500° C. In addition, embodiments of the silicon precursors incorporate a —Si—Y—Si— bond, where Y may comprise an amino group, a substituted or unsubstituted hydrocarbyl group, or oxygen. In an embodiment a silicon precursor has the formula: where Y is a hydrocarbyl group, a substituted hydrocarbyl group, oxygen, or an amino group; R1, R2, R3, and R4 are each independently a hydrogen group, a hydrocarbyl group, a substituted hydrocarbyl group, a heterohydrocarbyl group, wherein R1, R2, R3, and R4 may be the same or different from one another; X1, X2, X3, and X4 are each independently, a hydrogen group, a hydrocarbyl group, a substituted hydrocarbyl group, or a hydrazine group, wherein X1, X2, X3, and X4 may be the same or different from one another.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: July 24, 2012
    Assignee: Air Liquide Electronics U.S. LP
    Inventors: Ziyun Wang, Ashutosh Misra, Ravi Laxman
  • Patent number: 8216927
    Abstract: By providing a protective layer in an intermediate manufacturing stage, an increased surface protection with respect to particle contamination and surface corrosion may be achieved. In some illustrative embodiments, the protective layer may be used during an electrical test procedure, in which respective contact portions are contacted through the protective layer, thereby significantly reducing particle contamination during a respective measurement process.
    Type: Grant
    Filed: April 26, 2011
    Date of Patent: July 10, 2012
    Assignee: Globalfoundries Inc.
    Inventors: Ralf Richter, Frank Feustel, Thomas Werner, Kai Frohberg
  • Patent number: 8168546
    Abstract: A chemical vapor deposition method such as an atomic-layer-deposition method for forming a patterned thin film includes applying a deposition inhibitor material to a substrate. The deposition inhibitor material is a hydrophilic polymer that is has in its backbone, side chains, or both backbone and side chains, multiple secondary or tertiary amide groups that are represented by the following acetamide structure: >N—C(?O)—. The deposition inhibitor material is patterned simultaneously or subsequently to its application to the substrate, to provide selected areas of the substrate effectively not having the deposition inhibitor material. A thin film is substantially deposited only in the selected areas of the substrate not having the deposition inhibitor material.
    Type: Grant
    Filed: November 20, 2009
    Date of Patent: May 1, 2012
    Assignee: Eastman Kodak Company
    Inventor: David H. Levy
  • Patent number: 8163658
    Abstract: A method of double patterning a semiconductor structure with a single material which after patterning becomes a permanent part of the semiconductor structure. More specifically, a method to form a patterned semiconductor structure with small features is provided which are difficult to obtain using conventional exposure lithographic processes. The method of the present invention includes the use of patternable low-k materials which after patterning remain as a low-k dielectric material within the semiconductor structure. The method is useful in forming semiconductor interconnect structures in which the patternable low-k materials after patterning and curing become a permanent element, e.g., a patterned interlayer low-k material, of the interconnect structure.
    Type: Grant
    Filed: August 24, 2009
    Date of Patent: April 24, 2012
    Assignee: International Business Machines Corporation
    Inventor: Qinghuang Lin
  • Patent number: 8158467
    Abstract: A liquid crystal display device includes a plurality of gate lines and data lines on a first substrate defining a plurality of pixel regions, a thin film transistor within the pixel regions, a pixel electrode within the pixel regions, and at least one TiOx layer provided with the thin film transistor.
    Type: Grant
    Filed: February 3, 2010
    Date of Patent: April 17, 2012
    Assignee: LG Display Co., Ltd.
    Inventors: Gee-Sung Chae, Gyoo-Chul Jo, Yong-Sup Hwang
  • Patent number: 8030219
    Abstract: A coated substrate product is described comprising a substrate and a dielectric coating material comprising carbon, hydrogen, silicon, and oxygen. According to the method, the substrate is processed by plasma cleaning the surface and then depositing a dielectric coating by a suitable plasma process. The coating may contain one or more layers. The substrate may be a rigid material or a thin film or foil. The coated products of this invention have superior dielectric material properties and utility as substrates for the manufacture of rolled or parallel plate capacitors with high energy densities.
    Type: Grant
    Filed: February 7, 2006
    Date of Patent: October 4, 2011
    Assignees: Morgan Advanced Ceramics, Inc., K Systems Corporation
    Inventors: Fred M. Kimock, Steven J. Finke, Richard L. C. Wu
  • Publication number: 20110212627
    Abstract: Semiconductor devices and methods for making such devices are described. The semiconductor devices contain dielectric layers that have been deposited and/or flowed by the application of microwave energy (“MW dielectric layers”). The dielectric layers can be made by providing a substrate in a reaction chamber, flowing a precursor gas mixture (containing atoms that react to form a dielectric material) in the reaction chamber, and then subjecting the gas mixture to microwave energy at a frequency and power density sufficient to cause the atoms of the precursor gas mixture to react and deposit to form a dielectric layer on the substrate. As well, the devices can be made by applying microwave energy to an already-deposited dielectric film at a frequency and power density sufficient to cause the atoms of the deposited dielectric material to flow.
    Type: Application
    Filed: February 25, 2011
    Publication date: September 1, 2011
    Inventor: Robert J. Purtell
  • Publication number: 20110201173
    Abstract: A method of forming a semiconductor device includes the following processes. A groove is formed in a semiconductor substrate. A first spin-on-dielectric layer is formed over a semiconductor substrate. An abnormal oxidation of the first spin-on-dielectric layer is carried out. A surface of the first spin-on-dielectric layer is removed. A second spin-on-dielectric layer is formed over the first spin-on-dielectric layer. A non-abnormal oxidation of the first and second spin-on-dielectric layers is carried out to modify the second spin-on-dielectric layer without modifying the first spin-on-dielectric layer.
    Type: Application
    Filed: February 14, 2011
    Publication date: August 18, 2011
    Applicant: ELPIDA MEMORY, INC
    Inventor: Jiro MIYAHARA
  • Patent number: 7998878
    Abstract: A chemical vapor deposition method such as an atomic-layer-deposition method for forming a patterned thin film includes applying a deposition inhibitor material to a substrate. The deposition inhibitor material is a hydrophilic polymer that is soluble in an aqueous solution comprising at least 50 weight % water and has an acid content of less than 2.5 meq/g of polymer. The deposition inhibitor material is patterned simultaneously or subsequently to its application to the substrate, to provide selected areas of the substrate effectively not having the deposition inhibitor material. A thin film is substantially deposited only in the selected areas of the substrate not having the deposition inhibitor material.
    Type: Grant
    Filed: November 20, 2009
    Date of Patent: August 16, 2011
    Assignee: Eastman Kodak Company
    Inventors: David H. Levy, Lee W. Tutt
  • Patent number: 7977257
    Abstract: In a semiconductor device and a method of manufacturing a semiconductor device, a lower electrode is formed on a semiconductor substrate. A first zirconium oxide layer is formed on the lower electrode by performing a first deposition process using a first zirconium source and a first oxidizing gas. A zirconium carbo-oxynitride layer is formed on the first zirconium oxide layer by performing a second deposition process using a second zirconium source, a second oxidizing gas and a nitriding gas, and an upper electrode is formed on the zirconium carbo-oxynitride layer. A zirconium oxide-based composite layer having a high dielectric constant and a thin equivalent oxide thickness can be obtained.
    Type: Grant
    Filed: March 27, 2009
    Date of Patent: July 12, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Weon-Hong Kim, Min-Woo Song, Pan-Kwi Park, Jung-Min Park
  • Patent number: 7972980
    Abstract: A method of forming a conformal dielectric film having Si—N bonds on a semiconductor substrate by plasma enhanced chemical vapor deposition (PECVD) includes: introducing a nitrogen- and hydrogen-containing reactive gas and a rare gas into a reaction space inside which a semiconductor substrate is placed; applying RF power to the reaction space; and introducing a hydrogen-containing silicon precursor as a first precursor and a hydrocarbon gas as a second precursor in pulses into the reaction space wherein a plasma is excited, thereby forming a conformal dielectric film doped with carbon and having Si—N bonds on the substrate.
    Type: Grant
    Filed: May 12, 2010
    Date of Patent: July 5, 2011
    Assignee: ASM Japan K.K.
    Inventors: Woo Jin Lee, Akira Shimizu
  • Patent number: 7960291
    Abstract: The present invention provides porous organosilicate layers, and vapor deposition systems and methods for preparing such layers on substrates. The porous organosilicate layers are useful, for example, as masks.
    Type: Grant
    Filed: August 28, 2008
    Date of Patent: June 14, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Eugene P. Marsh
  • Patent number: 7955962
    Abstract: By providing a protective layer in an intermediate manufacturing stage, an increased surface protection with respect to particle contamination and surface corrosion may be achieved. In some illustrative embodiments, the protective layer may be used during an electrical test procedure, in which respective contact portions are contacted through the protective layer, thereby significantly reducing particle contamination during a respective measurement process.
    Type: Grant
    Filed: April 3, 2007
    Date of Patent: June 7, 2011
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Ralf Richter, Frank Feustel, Thomas Werner, Kai Frohberg
  • Publication number: 20110120374
    Abstract: Oxide growth of a gate dielectric layer that occurs between processes used in the fabrication of a gate dielectric structure can be reduced. The reduction in oxide growth can be achieved by maintaining the gate dielectric layer in an ambient effective to mitigate oxide growth of the gate dielectric layer between at least two sequential process steps used in the fabrication the gate dielectric structure. Maintaining the gate dielectric layer in an ambient effective to mitigate oxide growth also improves the uniformity of nitrogen implanted in the gate dielectric.
    Type: Application
    Filed: January 31, 2011
    Publication date: May 26, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Malcolm J. Bevan, Haowen Bu, Hiroaki Niimi, Husam N. Alshareef
  • Publication number: 20110104884
    Abstract: A hot edge ring with extended lifetime comprises an annular body having a sloped upper surface. The hot edge ring includes a step underlying an outer edge of a semiconductor substrate supported in a plasma processing chamber wherein plasma is used to process the substrate. The step includes a vertical surface which surrounds the outer edge of the substrate and the sloped upper surface extends upwardly and outwardly from the upper periphery of the vertical surface.
    Type: Application
    Filed: November 2, 2010
    Publication date: May 5, 2011
    Applicant: Lam Research Corporation
    Inventors: Akira Koshiishi, Sathya Mani, Gautam Bhattacharyya, Gregory R. Bettencourt, Sandy Chao
  • Patent number: 7919416
    Abstract: A method of forming a conformal dielectric film having Si—N bonds on a semiconductor substrate by plasma enhanced chemical vapor deposition (PECVD) includes: introducing a nitrogen- and hydrogen-containing reactive gas and an additive gas into a reaction space inside which a semiconductor substrate is placed; applying RF power to the reaction space; and introducing a hydrogen-containing silicon precursor in pulses into the reaction space wherein a plasma is excited, thereby forming a conformal dielectric film having Si—N bonds on the substrate.
    Type: Grant
    Filed: January 21, 2009
    Date of Patent: April 5, 2011
    Assignee: ASM Japan K.K.
    Inventors: Woo-Jin Lee, Akira Shimizu, Atsuki Fukazawa
  • Publication number: 20110045615
    Abstract: A manufacturing method of a semiconductor device using a semiconductor manufacturing unit comprising a reaction chamber, a substrate mounting stage, and a high frequency power supply coupled to the substrate mounting stage, a blocking capacitor interposed between the substrate mounting stage and the high-frequency power supply to continuously perform a plurality of dry etching processing with respect to the same substrate in the same reaction chamber, the method includes: disposing a substrate on a substrate mounting stage, and applying high-frequency powers to the substrate mounting stage while introducing a fluorocarbon-based first gas to perform a first dry etching processing with respect to the substrate, the substrate including an organic material film and a silicon compound film sequentially deposited on a surface thereof and a resist film patterned on the silicon compound film, the first dry etching processing including processing the silicon compound film with the resist film being used as a mask; and
    Type: Application
    Filed: October 27, 2010
    Publication date: February 24, 2011
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Mitsuhiro Omura
  • Publication number: 20110034037
    Abstract: Disclosed is a method for cleaning a semiconductor substrate that can solve a problem of a conventional cleaning method which should include at least five steps for cleaning a substrate such as a semiconductor substrate. The method for cleaning a semiconductor substrate comprises a first step of cleaning a substrate with ultrapure water containing ozone, a second step of cleaning the substrate with ultrapure water containing a surfactant, and a third step of removing an organic compound derived from the surfactant, with a cleaning liquid containing ultrapure water and 2-propanol. After the third step, plasma of noble gas such as krypton is applied to the substrate to further remove the organic compound derived from the surfactant.
    Type: Application
    Filed: April 10, 2009
    Publication date: February 10, 2011
    Inventors: Tadahiro Ohmi, Akinobu Teramoto, Rui Hasebe, Masayuki Miyashita
  • Publication number: 20110031593
    Abstract: There are provided a method of manufacturing a semiconductor device, a substrate processing apparatus, and a semiconductor device. The method allows rapid formation of a conductive film, which has a low concentration of impurities permeated from a source owing to its dense structure, and a low resistivity. The method is performed by simultaneously supplying two or more kinds of sources into a processing chamber to form a film on a substrate placed in the processing chamber. The method comprises: performing a first source supply process by supplying at least one kind of source into the processing chamber at a first supply flow rate; and performing a second source supply process by supplying the at least one kind of source into the processing chamber at a second supply flow rate different from the first supply flow rate.
    Type: Application
    Filed: August 3, 2010
    Publication date: February 10, 2011
    Applicant: HITACHI KOKUSAI ELECTRIC, INC.
    Inventors: Tatsuyuki SAITO, Masanori SAKAI, Yukinao KAGA, Takashi Yokogawa
  • Patent number: 7880859
    Abstract: A substrate processing system processes a plurality of substrates in a single-substrate processing mode by a plurality of processes and provided with a plurality of modules respectively for carrying out processes. When a defect is found in a substrate, a defective processing unit that caused the defect can be easily found out. The substrate processing system and a substrate processing method to be carried out by the substrate processing system can suppress the reduction of throughput when a large number of substrates are to be processed. The substrate processing system is provided with a plurality of modules for processing a plurality of substrates (W) in a single-substrate processing mode by a plurality of processes and includes a substrate carrying means (A4) for carrying a substrate (W) from a sending module to a receiving module, and a control means (6) for controlling the substrate carrying means (A4) on the basis of one of at least two carrying modes each assigning receiving modules to sending modules.
    Type: Grant
    Filed: November 18, 2009
    Date of Patent: February 1, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Yasushi Hayashida, Shinichi Hayashi, Yoshitaka Hara
  • Publication number: 20110014770
    Abstract: A method of forming a dielectric thin film of a semiconductor device, the method including supplying a first nuclear atom precursor source and a second nuclear atom precursor source having different thermal decomposition temperatures to a substrate and forming a chemical adsorption layer including first nuclear atoms and second nuclear atoms on the substrate. A reactant including oxygen atoms may be supplied to the substrate on which the chemical adsorption layer is formed. An atomic layer including an oxide of the first nuclear atoms and the second nuclear atoms may be formed on the chemical adsorption layer.
    Type: Application
    Filed: November 30, 2009
    Publication date: January 20, 2011
    Inventors: Ki-yeon Park, Cha-young Yoo, Jong-cheol Lee, Jun-noh Lee
  • Patent number: 7825037
    Abstract: In accordance with the invention, there is a method of forming a nanochannel including depositing a photosensitive film stack over a substrate and forming a pattern on the film stack using interferometric lithography. The method can further include depositing a plurality of silica nanoparticles to form a structure over the pattern and removing the pattern while retaining the structure formed by the plurality of silica nanoparticles, wherein the structure comprises an enclosed nanochannel.
    Type: Grant
    Filed: October 16, 2006
    Date of Patent: November 2, 2010
    Assignee: STC.UNM
    Inventors: Steven R. J. Brueck, Deying Xia
  • Patent number: 7820458
    Abstract: Test structures and methods for semiconductor devices, lithography systems, and lithography processes are disclosed. In one embodiment, a method of manufacturing a semiconductor device includes using a lithography system to expose a layer of photosensitive material of a workpiece to energy through a lithography mask, the lithography mask including a plurality of first test patterns having a first phase shift and at least one plurality of second test patterns having at least one second phase shift. The layer of photosensitive material of the workpiece is developed, and features formed on the layer of photosensitive material from the plurality of first test patterns and the at least one plurality of second test patterns are measured to determine a optimal focus level or optimal dose of the lithography system for exposing the layer of photosensitive material of the workpiece.
    Type: Grant
    Filed: February 13, 2008
    Date of Patent: October 26, 2010
    Assignee: Infineon Technologies AG
    Inventor: Sajan Marokkey
  • Patent number: 7821072
    Abstract: In a semiconductor device, a transistor in an N-type logic region NL is covered with a tensile stress applying film and a transistor in a P-type logic region PL is covered with a compressive stress applying film. Transistors in a P-type SRAM region PS and an N-type SRAM region NS are covered with a layered film including a tensile stress applying film and a compressive stress applying film.
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: October 26, 2010
    Assignee: Panasonic Corporation
    Inventor: Naoki Kotani
  • Publication number: 20100244207
    Abstract: Disclosed are methods of making an integrated circuit with multiple thickness and/or multiple composition high-K gate dielectric layers and integrated circuits containing multiple thickness and/or multiple composition high-K gate dielectrics. The methods involve forming a layer of high-K atoms over a conventional gate dielectric and heating the layer of high-K atoms to form a high-K gate dielectric layer. Methods of suppressing gate leakage current while mitigating mobility degradation are also described.
    Type: Application
    Filed: March 26, 2009
    Publication date: September 30, 2010
    Applicant: TOSHIBA AMERICA ELECTRONIC COMPONENTS, INC.
    Inventor: Mariko Takayanagi
  • Publication number: 20100248460
    Abstract: A method of forming an information storage pattern, includes placing a semiconductor substrate in a process chamber, injecting first, second and third process gases into the process chamber during a first process to form a lower layer on the substrate based on a first injection time and/or a first pause time, injecting the second process gas into the process chamber during a second process, wherein the second process gas is injected into the process chamber during a first elimination time, injecting a fourth process gas together with the second and third process gases into the process chamber during a third process in accordance with a second injection time and/or a second pause time to form an upper layer on the lower layer, and injecting the second process gas into the process chamber during a fourth process, wherein the second process gas is injected into the process chamber during a second elimination.
    Type: Application
    Filed: March 26, 2010
    Publication date: September 30, 2010
    Inventors: Jin-Il Lee, Urazaev Vladimir, Jin-Ha Jeong, Seung-Back Shin, Sung-Lae Cho, Hyeong-Geun An, Dong-Hyun Im, Jung-Hyeon Kim
  • Publication number: 20100244204
    Abstract: Provided is a technology capable of obtaining a fluorine-containing carbon film having a good leakage property, coefficient of thermal expansion and mechanical strength. The fluorine-containing carbon film is formed by using active species obtained by activating a C5F8 gas and a hydrogen gas. Fluorine in the fluorine-containing carbon film comes off together with H so that the amount of F decreases, thereby accelerating the polymerization. As a result, a C-dangling bond in the fluorine-containing carbon is decreased and a leakage current is reduced. Further, as the polymerization accelerates, the film gets stronger, so that the fluorine-containing carbon film having a high mechanical strength such as a high elasticity or a high hardness can be obtained.
    Type: Application
    Filed: May 11, 2007
    Publication date: September 30, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takaaki Matsuoka, Masahiro Horigome
  • Patent number: 7803720
    Abstract: A coating system and method of coating semiconductor wafers is disclosed that is able to maintain a wet condition on the outer portion of the semiconductor wafer to provide ease of spreading for a photo-resist or anti-reflective coating (ARC) that is being dispensed. The system can include a plurality of nozzles on a movable arm. A first nozzle dispenses a pre-wet solvent onto the semiconductor wafer. A second nozzle then dispenses the photo-resist or ARC coating onto the semiconductor wafer. A third nozzle dispenses additional pre-wet solvent onto the outer edge of the semiconductor wafer as the photo-resist or ARC coating is being dispensed. The nozzles dispense solutions onto the semiconductor wafer as it rotates. The system produces semiconductor wafers with few coating defects and uses less photo-resist or ARC coating.
    Type: Grant
    Filed: January 25, 2008
    Date of Patent: September 28, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Seiji Nakagawa
  • Patent number: 7803706
    Abstract: Disclosed is a semiconductor device manufacturing method in which a silicon nitride film is formed to cover an n-channel transistor formed on a semiconductor substrate and to apply a tensile stress in a channel length direction to a channel of the n-channel transistor, the method includes: forming a first-layer silicon nitride film above the n-channel transistor; irradiating the first-layer silicon nitride film with ultraviolet radiation; and after the ultraviolet irradiation, forming at least one silicon nitride film thinner than the first-layer silicon nitride film above the first-layer silicon nitride film. Silicon nitride films formed to apply the tensile stress is formed by respective steps.
    Type: Grant
    Filed: March 20, 2009
    Date of Patent: September 28, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Toshiaki Idaka, Kazuyuki Yahiro
  • Patent number: 7803722
    Abstract: A method for forming a semiconductor structure includes reacting a silicon precursor and an atomic oxygen or nitrogen precursor at a processing temperature of about 150° C. or less to form a silicon oxide or silicon-nitrogen containing layer over a substrate. The silicon oxide or silicon-nitrogen containing layer is ultra-violet (UV) cured within an oxygen-containing environment.
    Type: Grant
    Filed: October 22, 2007
    Date of Patent: September 28, 2010
    Assignee: Applied Materials, Inc
    Inventor: Jingmei Liang
  • Publication number: 20100240225
    Abstract: Disclosed is a microwave plasma processing apparatus (100) that generates a plasma of a processing gas in a chamber (1) by microwaves radiated from microwave radiating holes (32) of a plane antenna (31) and transmitted through a microwave-transmissive plate (28), thereby to carry out plasma processing of a processing object with the plasma. The microwave-transmissive plate (28) has a microwave transmitting surface having a recessed/projected area (42) in an area corresponding to a peripheral region of the processing object, and having a flat area (43) in an area corresponding to a central region of the processing object (W).
    Type: Application
    Filed: June 10, 2008
    Publication date: September 23, 2010
    Applicant: Tokyo Electron Limited
    Inventors: Yoshihiro Sato, Takashi Kobayashi, Toshihiko Shiozawa, Daisuke Tamura
  • Patent number: 7799704
    Abstract: Apparatus and methods for distributing gas in a semiconductor process chamber are provided. In an embodiment, a gas distributor for use in a gas processing chamber comprises a body. The body includes a baffle with a gas deflection surface to divert the flow of a gas from a first direction to a second direction. The gas deflection surface comprises a concave surface. The concave surface comprises at least about 75% of the surface area of the gas deflection surface. The concave surface substantially deflects the gas toward a chamber wall and provides decreased metal atom contamination from the baffle so that season times can be reduced.
    Type: Grant
    Filed: October 17, 2008
    Date of Patent: September 21, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Soonam Park, Farhan Ahmad, Hemant P. Mungekar, Sanjay Kamath, Young S. Lee, Siqing Lu