Acting In Response To Ongoing Measurement Without Interruption Of Processing, E.g., Endpoint Detection, In-situ Thickness Measurement (epo) Patents (Class 257/E21.528)
  • Patent number: 7795045
    Abstract: A method of manufacturing a semiconductor wafer having at least one device trench extending to a first depth position includes providing a semiconductor substrate having first and second main surfaces and a semiconductor material layer having first and second main surfaces disposed on the first main surface of the semiconductor substrate and determining an etch ratio. The least one device trench and at least one monitor trench are simultaneously formed in the first main surface of the semiconductor material layer. The at least one monitor trench is monitored to detect when it extends to a second depth position. A ratio of the first depth position to the second depth position is generally equal to the etch ratio.
    Type: Grant
    Filed: February 13, 2009
    Date of Patent: September 14, 2010
    Assignee: Icemos Technology Ltd.
    Inventors: Hugh J. Griffin, Takeshi Ishiguro, Kenji Sugiura
  • Patent number: 7791085
    Abstract: Disclosed herein is a semiconductor light emitting apparatus that includes: a semiconductor light emitting device having a first semiconductor laminate structure including a light emitting region, and a light outgoing window permitting the light emitted from the light emitting region to go out therethrough in the lamination direction; a light transmitting part provided in a region corresponding to the light emitting region; a metal part provided in a region, corresponding to an outer peripheral region of the light emitting region, of the first semiconductor laminate structure; and a semiconductor light detector having a second semiconductor laminate structure including a light absorbing layer for absorbing a part of the light incident from the lamination direction. In the apparatus, the semiconductor light emitting device, a layer including the light transmitting part and the metal part, and the semiconductor light detector are integrally formed in the state of being laminated in this order.
    Type: Grant
    Filed: November 27, 2006
    Date of Patent: September 7, 2010
    Assignee: Sony Corporation
    Inventors: Rintaro Koda, Takahiro Arakida, Yoshinori Yamauchi, Norihiko Yamaguchi, Yuji Masui
  • Publication number: 20100216260
    Abstract: The plasma etching method includes: an etching step of placing, on a stage in a chamber, a substrate in which a prescribed mask pattern is formed by a protective film on a surface of a material to be etched, generating a plasma in the chamber while supplying processing gas to the chamber, and etching a portion of the material corresponding to an opening portion in the mask pattern; a voltage measurement step of, during the etching in the etching step, measuring a voltage at the surface of the material on a side where the mask pattern is formed, through a conductive member that is placed in contact with the surface of the material on the side where the mask pattern is formed; and a control step of controlling an etching condition in the etching step in accordance with a measurement result obtained in the voltage measurement step.
    Type: Application
    Filed: February 24, 2010
    Publication date: August 26, 2010
    Inventor: Shuji Takahashi
  • Publication number: 20100216258
    Abstract: Embodiments of the invention generally provide methods for end point detection at predetermined dopant concentrations during plasma doping processes. In one embodiment, a method includes positioning a substrate within a process chamber, generating a plasma above the substrate and transmitting a light generated by the plasma through the substrate, wherein the light enters the topside and exits the backside of the substrate, and receiving the light by a sensor positioned below the substrate. The method further provides generating a signal proportional to the light received by the sensor, implanting the substrate with a dopant during a doping process, generating multiple light signals proportional to a decreasing amount of the light received by the sensor during the doping process, generating an end point signal proportional to the light received by the sensor once the substrate has a final dopant concentration, and ceasing the doping process.
    Type: Application
    Filed: May 10, 2010
    Publication date: August 26, 2010
    Inventors: Majeed A. Foad, Shijian Li
  • Patent number: 7780503
    Abstract: A polishing apparatus makes it possible to polish and remove an extra conductive film while preventing the occurrence of erosion and without lowering of the throughput. The polishing apparatus includes: a polishing table having a polishing surface; a top ring for holding a workpiece having a surface conductive film, and pressing the conductive film against the polishing surface to polish the conductive film; an optical sensor for monitoring the polishing state of the conductive film by emitting light toward the conductive film of the workpiece held by the top ring, receiving reflected light from the conductive film, and measuring a change in the reflectance of the reflected light; and a control section for controlling a pressure at which the workpiece is pressed on the polishing surface.
    Type: Grant
    Filed: November 19, 2008
    Date of Patent: August 24, 2010
    Assignee: Ebara Corporation
    Inventors: Shinrou Ohta, Noburu Shimizu, Yoichi Kobayashi
  • Publication number: 20100207199
    Abstract: The method includes the steps of: forming a planar semiconductor layer on an oxide film formed on a substrate and then forming a pillar-shaped first-conductive-type semiconductor layer on the planar semiconductor layer; forming a second-conductive-type semiconductor layer in a portion of the planar semiconductor layer underneath the pillar-shaped first-conductive-type semiconductor layer; forming a gate dielectric film and a gate electrode made of a metal, around the pillar-shaped first-conductive-type semiconductor layer; forming a sidewall-shaped dielectric film on an upper region of a sidewall of the pillar-shaped first-conductive-type semiconductor layer and in contact with a top of the gate electrode; forming a sidewall-shaped dielectric film on a sidewall of the gate electrode; forming a second-conductive-type semiconductor layer in an upper portion of the pillar-shaped first-conductive-type semiconductor layer.
    Type: Application
    Filed: February 11, 2010
    Publication date: August 19, 2010
    Inventors: Fujio Masuoka, Tomohiko Kudo, Shintaro Arai, Hiroki Nakamura
  • Publication number: 20100197048
    Abstract: In a conventional SGT production method, during dry etching for forming a pillar-shaped silicon layer and a gate electrode, an etching amount cannot be controlled using an end-point detection process, which causes difficulty in producing an SGT while stabilizing a height dimension of the pillar-shaped silicon layer, and a gate length. In an SGT production method of the present invention, a hard mask for use in dry etching for forming a pillar-shaped silicon layer is formed in a layered structure comprising a first hard mask and a second hard mask, to allow the end-point detection process to be used during the dry etching for the pillar-shaped silicon layer. In addition, a gate conductive film for use in dry etching for forming a gate electrode is formed in a layered structure comprising a first gate conductive film and a second gate conductive film, to allow the end-point detection process to be used during the dry etching for the gate electrode.
    Type: Application
    Filed: February 11, 2010
    Publication date: August 5, 2010
    Inventors: Fujio Masuoka, Shintaro Arai
  • Publication number: 20100190273
    Abstract: A method for manufacturing a high-frequency signal transmission circuit includes the steps of forming a groove to surround a first region on a semiconductor substrate, filling the groove with a stopper material, forming a high-frequency transmission line on the semiconductor substrate so that the transmission line extends over the first region, and etching the first region of the semiconductor substrate using the stopper material as an etching stopper to form a recess in the first region.
    Type: Application
    Filed: March 31, 2010
    Publication date: July 29, 2010
    Applicant: Sony Corporation
    Inventor: KueiSung Chang
  • Publication number: 20100167426
    Abstract: The invention provides a method for overcoming the drawbacks of deteriorated throughput, deteriorated reproducibility and plasma discharge instability when continuous discharge is performed during multiple steps of plasma etching. The present invention provides a gas switching method for switching from gas supply source 101 to gas supply source 111, wherein the gas supply source 101 is switched to gas supply source 111 by opening a valve 114 in advance, setting a flow rate of MFC 112 to a flow rate used in the subsequent step, letting the gas supply source 111 to flow toward an exhaust means 5, and closing the valve 114 simultaneously when opening the valve 113, wherein a volume V1 of an area of a gas pipe 115 surrounded by the valve 113, the valve 114 and the MFC 112 is set sufficiently smaller than a volume Vo from the shower plate to the valve 113 including a gas reservoir 10 and a processing gas line 8.
    Type: Application
    Filed: March 12, 2010
    Publication date: July 1, 2010
    Inventors: Naoyuki Kofuji, Hiroshi Akiyama, Kouhei Satou
  • Publication number: 20100164113
    Abstract: A method for forming copper wirings in a semiconductor device may include depositing a lower insulating film over a semiconductor substrate; forming vias in the lower insulating film; depositing tungsten over the entire surface of upper portion of the lower insulating film so that the vias are gap-filled with the tungsten; forming tungsten plugs by performing a tungsten chemical mechanical polishing process to remove excess tungsten deposited over the upper portion of the lower insulating film; removing the tungsten remaining over the upper portion of the lower insulating film by performing a tungsten etchback process; depositing an upper insulating film over the upper portion of the lower insulating film; exposing upper portions of the tungsten plugs by forming trenches on the upper insulating film; depositing copper over the entire surface of the upper insulating film so that the trenches are gap-filled with the copper; and planarizing the copper over the upper portion of the trenches.
    Type: Application
    Filed: December 10, 2009
    Publication date: July 1, 2010
    Inventor: Kweng-Rae Cho
  • Patent number: 7713757
    Abstract: Embodiments of the invention generally provide methods for end point detection at predetermined dopant concentrations during plasma doping processes. In one embodiment, a method includes positioning a substrate within a process chamber, generating a plasma above the substrate and transmitting a light generated by the plasma through the substrate, wherein the light enters the topside and exits the backside of the substrate, and receiving the light by a sensor positioned below the substrate. The method further provides generating a signal proportional to the light received by the sensor, implanting the substrate with a dopant during a doping process, generating multiple light signals proportional to a decreasing amount of the light received by the sensor during the doping process, generating an end point signal proportional to the light received by the sensor once the substrate has a final dopant concentration, and ceasing the doping process.
    Type: Grant
    Filed: March 14, 2008
    Date of Patent: May 11, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Majeed A. Foad, Shijian Li
  • Patent number: 7700377
    Abstract: During the patterning of respective contact etch stop layers having a different type of intrinsic stress, the deposition of an etch indicator layer between the first and the second contact etch stop layer may be omitted in order to avoid any undue effects of this layer during the subsequent processing. Local removal of the second stressed layer may be performed on the basis of an etch time controlled etch process, which in some aspects may include the provision of an etch indicator material, wherein feed forward and feed back measurement data may be used in an appropriately designed process controller.
    Type: Grant
    Filed: September 27, 2007
    Date of Patent: April 20, 2010
    Assignee: GlobalFoundries, Inc.
    Inventors: Ralf Richter, Heike Salz, Matthias Schaller
  • Patent number: 7700378
    Abstract: A method and system for controlling a dimension of an etched feature. The method includes: measuring a mask feature formed on a top surface of a layer on a substrate to obtain a mask feature dimension value; and calculating a mask trim plasma etch time based on the mask feature dimension value, a mask feature dimension target value, a total of selected radio frequency power-on times of a plasma etch tool since an event occurring to a chamber or chambers of a plasma etch tool for plasma etching the layer, and an etch bias target for a layer feature to be formed from the layer where the layer is not protected by the mask feature during a plasma etch of the layer.
    Type: Grant
    Filed: October 15, 2007
    Date of Patent: April 20, 2010
    Assignee: International Business Machines Corporation
    Inventors: Gary Walter Behm, Teresita Quitua Magtoto, Rajiv M. Ranade
  • Patent number: 7701072
    Abstract: The semiconductor device according to an aspect of the invention includes: an internal circuit area having an internal circuit; an I/O circuit area positioned outside the internal circuit area; and an electrode pad placed across an outer edge of the I/O circuit area. In the electrode pad, an area outside the outer edge of the I/O circuit area is a bonding area, and an area inside the outer edge is a probe area.
    Type: Grant
    Filed: June 12, 2006
    Date of Patent: April 20, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Takayuki Nishida
  • Publication number: 20100093112
    Abstract: An embodiment of the invention provides a laser annealing method, including the steps of radiating a laser beam to an amorphous film on a substrate while scanning the laser beam for the amorphous film, crystallizing the amorphous film, detecting a light quantity of laser beam reflected from the substrate and a scanning speed of the laser beam while the radiation and the scanning of the laser beam are carried out for the amorphous film, and controlling a radiation level and the scanning speed of the laser beam based on results of comparison of the light quantity of laser beam reflected from the substrate, and the scanning speed of the laser beam with respective preset references.
    Type: Application
    Filed: October 6, 2009
    Publication date: April 15, 2010
    Applicant: SONY CORPORATION
    Inventors: Katsuji Takagi, Akio Machida, Toshio Fujino, Tadahiro Kono, Norio Fukasawa, Shinsuke Haga
  • Patent number: 7695986
    Abstract: The present invention provides a method and apparatus for modifying process selectivities based on process state information. The method includes accessing process state information associated with at least one material removal process, determining at least one selectivity based on the process state information, and modifying at least one process parameter of said material removal process based on said at least one determined selectivity.
    Type: Grant
    Filed: August 1, 2005
    Date of Patent: April 13, 2010
    Assignee: GlobalFoundries, Inc.
    Inventors: Matthew A. Purdy, Matthew Ryskoski, Richard J. Markle
  • Patent number: 7695984
    Abstract: Method and system for detecting endpoint for a plasma etch process are provided. In accordance with one embodiment, the method provides a semiconductor substrate having a film to be processed thereon. The film is processed in a plasma environment during a time period to provide for device structures. Information associated with the plasma process is collected. The information is characterized by a first signal intensity. Information on a change in the first signal intensity is extracted. The change in the first signal intensity has a second signal intensity. The change in signal intensity at the second signal intensity is associated to an endpoint of processing the film in the plasma environment. The second signal intensity may be about 0.25% and less of the first signal intensity.
    Type: Grant
    Filed: April 20, 2006
    Date of Patent: April 13, 2010
    Assignee: Pivotal Systems Corporation
    Inventors: Joseph R Monkowski, Barton Lane
  • Publication number: 20100087016
    Abstract: Improved methods and apparatus for forming thin-film layers of semiconductor material absorber layers on a substrate web. According to the present teachings, a semiconductor layer may be formed in a multi-zone process whereby various layers are deposited sequentially onto a moving substrate web.
    Type: Application
    Filed: April 15, 2009
    Publication date: April 8, 2010
    Applicant: Global Solar Energy, Inc.
    Inventors: Jeffrey S. Britt, Scott Wiedeman
  • Publication number: 20100087017
    Abstract: It is intended to produce a semiconductor device with a stable gate length, using an end-point detection process based on monitoring a plasma emission intensity during dry etching for setting a gate length.
    Type: Application
    Filed: September 1, 2009
    Publication date: April 8, 2010
    Applicant: Unisantis Electronics (Japan) Ltd.
    Inventors: Fujio Masuoka, Shintaro Arai
  • Patent number: 7682843
    Abstract: Zero point shift based on thermal siphon effect occurring actually when a substrate is processed is detected accurately and corrected suitably. The semiconductor fabrication system comprises a gas supply passage (210) for supplying gas into a heat treatment unit (110), an MFC (240) for comparing an output voltage from a detecting unit for detecting the gas flow rate of the gas supply passage with a set voltage corresponding to a preset flow rate and controlling the gas flow rate of the gas supply passage to the set flow rate, and a control unit (300).
    Type: Grant
    Filed: June 28, 2006
    Date of Patent: March 23, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Shuji Moriya, Tsuneyuki Okabe, Hiroyuki Ebi, Tetsuo Shimizu, Hitoshi Kitagawa
  • Patent number: 7682844
    Abstract: A silicon substrate processing method for reducing the thickness of an area of a silicon substrate on which a metal layer is formed to implement a semiconductor integrated circuit is disclosed. The method includes: (A) a process which evenly reduces the thickness of the backside of a silicon substrate to an extent where mechanical strength is maintained and the metal layer on the silicon substrate remains intact; (B) a process which detects defects from the backside of the silicon substrate after the process (A); (C) a process which further reduces the thickness of a defect-containing area of the silicon substrate by processing the backside of the silicon substrate; and (D) a process which measures the thickness of the area of the silicon substrate which is reduced in the process (C).
    Type: Grant
    Filed: May 10, 2006
    Date of Patent: March 23, 2010
    Assignee: Ricoh Company, Ltd.
    Inventors: Takuya Naoe, Hirohiko Endoh
  • Patent number: 7682847
    Abstract: A method for sorting integrated circuit (IC) devices of the type having a substantially unique identification (ID) code, such as a fuse ID, including automatically reading the ID code of each of the IC devices and sorting the IC devices in accordance with their automatically read ID codes, is disclosed.
    Type: Grant
    Filed: October 9, 2008
    Date of Patent: March 23, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Raymond J. Beffa
  • Publication number: 20100068830
    Abstract: The invention includes a lithographic system having a first source for generating radiation with a first wavelength and an alignment system with a second source for generating radiation with a second wavelength. The second wavelength is larger than the first wavelength. A marker structure is provided having a first layer and a second layer. The second layer is present either directly or indirectly on top of said first layer. The first layer has a first periodic structure and the second layer has a second periodic structure. At least one of the periodic structures has a plurality of features in at least one direction with a dimension smaller than 400 nm. Additionally, a combination of the first and second periodic structure forms a diffractive structure arranged to be illuminated by radiation with the second wavelength.
    Type: Application
    Filed: November 3, 2009
    Publication date: March 18, 2010
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Richard Johannes Franciscus VAN HAREN, Arie Jeffrey Den Boef, Jacobus Burghoorn, Maurits Van Der Schaar, Bartolomeus Petrus Rijpers
  • Publication number: 20100062547
    Abstract: A plasma processing apparatus includes a process chamber, a platen positioned in the process chamber for supporting a workpiece, a source configured to generate a plasma in the process chamber, and a monitoring system including an ion mobility spectrometer configured to monitor a condition of the plasma. A monitoring method including generating a plasma in a process chamber of a plasma processing apparatus, supporting a workpiece on a platen in the process chamber, and monitoring a condition of the plasma with an ion mobility spectrometer is also provided.
    Type: Application
    Filed: September 10, 2009
    Publication date: March 11, 2010
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Kamal Hadidi, Bernard G. Lindsay
  • Publication number: 20090305438
    Abstract: A trench isolation method of a semiconductor device includes forming polishing prevention film patterns on a semiconductor substrate, etching the semiconductor device by using the polishing prevention film patterns as masks and forming trenches, and forming conformal insulation films on the semiconductor substrate and the polishing prevention film patterns by burying the trenches. The conformal insulation films are first polished using a first polishing pad by using a slurry including an abrasive having a polishing selection ratio with respect to the polishing prevention film patterns. The first polished conformal insulation films are second polished using a second polishing pad including an abrasive and by using the polishing prevention film patterns as polishing prevention films.
    Type: Application
    Filed: May 29, 2009
    Publication date: December 10, 2009
    Inventors: Il-young Yoon, Tae-hoon Lee, Jae-ouk Choo
  • Patent number: 7622317
    Abstract: A light emitting diode includes an LED element, a fluorescent material provided so as to cover the LED element, a substrate on which the LED element is mounted and made of ceramics or silicon, and a pair of electrode pads which are electrically connected to the LED element on the substrate.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: November 24, 2009
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Mitsutoshi Higashi, Akinori Shiraishi, Hideaki Sakaguchi, Yuichi Taguchi
  • Publication number: 20090280580
    Abstract: In one embodiment a method is provided for maintaining a substrate processing surface. The method generally includes performing a set of measurements on the substrate processing surface, wherein the set of measurements are taken using a displacement sensor coupled to a processing surface conditioning arm, determining a processing surface profile based on the set of measurements, comparing the processing surface profile to a minimum profile threshold, and communicating a result of the profile comparison.
    Type: Application
    Filed: May 8, 2009
    Publication date: November 12, 2009
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Antoine P. Manens, Wei-Yung Hsu, Hichem M'Saad
  • Publication number: 20090275200
    Abstract: In a dual stress liner approach, the surface conditions after the patterning of a first stress-inducing layer may be enhanced by appropriately designing an etch sequence for substantially completely removing an etch stop material, which may be used for the patterning of the second stress-inducing dielectric material, while, in other cases, the etch stop material may be selectively formed after the patterning of the first stress-inducing dielectric material. Hence, the dual stress liner approach may be efficiently applied to semiconductor devices of the 45 nm technology and beyond.
    Type: Application
    Filed: February 17, 2009
    Publication date: November 5, 2009
    Inventors: Ralf Richter, Heike Salz, Robert Seidel
  • Publication number: 20090275149
    Abstract: A method of controlling wafer critical dimension (CD) uniformity on a track lithography tool includes obtaining a CD map for a wafer. The CD map includes a plurality of CD data points correlated with a multi-zone heater geometry map. The multi-zone heater includes a plurality of heater zones. The method also includes determining a CD value for a first heater zone of the plurality of heater zones based on one or more of the CD data points and computing a difference between the determined CD value for the first heater zone and a target CD value for the first heater zone. The method further includes determining a temperature variation for the first heater zone based, in part, on the computed difference and a temperature sensitivity of a photoresist deposited on the wafer and modifying a temperature of the first heater zone based, in part, on the temperature variation.
    Type: Application
    Filed: October 30, 2008
    Publication date: November 5, 2009
    Applicant: Sokudo Co., Ltd.
    Inventors: Timothy Michaelson, Nikolaos Bekiaris
  • Patent number: 7605008
    Abstract: A method and apparatus for igniting a gas mixture into plasma using capacitive coupling techniques, shielding the plasma and other contents of the plasma reactor from the capacitively-coupled electric field, and maintaining the plasma using inductive coupling are provided. For some embodiments, the amount of capacitive coupling may be controlled after ignition of the plasma. Such techniques are employed in an effort to prevent damage to the surface of a substrate from excessive ion bombardment caused by the highly energized ions and electrons accelerated towards and perpendicular to the substrate surface by the electric field of capacitively-coupled plasma.
    Type: Grant
    Filed: April 2, 2007
    Date of Patent: October 20, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Thai Cheng Chua, James P. Cruse, Cory Czarnik
  • Publication number: 20090258444
    Abstract: Improved methods and apparatus for forming thin-film layers of semiconductor material absorber layers on a substrate web. According to the present teachings, a semiconductor layer may be formed in a multi-zone process whereby various layers are deposited sequentially onto a moving substrate web.
    Type: Application
    Filed: April 15, 2009
    Publication date: October 15, 2009
    Applicant: Global Solar Energy, Inc.
    Inventors: Jeffrey S. Britt, Scot Albright
  • Publication number: 20090239314
    Abstract: Methods of manufacturing a semiconductor device and an apparatus for the manufacturing of semiconductor devices are provided. An embodiment regards providing a process which changes the volume of at least one layer of a semiconductor substrate or of at least one layer deposited on the semiconductor substrate, and measuring a change in volume of such at least one layer using fluorescence. In another embodiment, a change in volume of such at least one layer is measured using reflection of electromagnetic waves.
    Type: Application
    Filed: March 20, 2008
    Publication date: September 24, 2009
    Inventors: Martin Haberjahn, Sascha Dieter, Andrea Graf, Christoph Noelscher, Dirk Manger, Stephan Wege
  • Publication number: 20090233384
    Abstract: Embodiments of the invention generally provide methods for end point detection at predetermined dopant concentrations during plasma doping processes. In one embodiment, a method includes positioning a substrate within a process chamber, generating a plasma above the substrate and transmitting a light generated by the plasma through the substrate, wherein the light enters the topside and exits the backside of the substrate, and receiving the light by a sensor positioned below the substrate. The method further provides generating a signal proportional to the light received by the sensor, implanting the substrate with a dopant during a doping process, generating multiple light signals proportional to a decreasing amount of the light received by the sensor during the doping process, generating an end point signal proportional to the light received by the sensor once the substrate has a final dopant concentration, and ceasing the doping process.
    Type: Application
    Filed: March 14, 2008
    Publication date: September 17, 2009
    Inventors: Majeed A. Foad, Shijian Li
  • Patent number: 7588946
    Abstract: A method of controlling gate formation of semiconductor devices includes determining the correlation between the step heights of isolation structures and the over-etching time by measuring step heights of isolation structures, determining an over-etching time based on the step heights, and etching gates using the over-etching time. The method may include an after-etching-inspection to measure the gate profile and fine-tune the gate formation control. Within-wafer uniformity can also be improved by measuring the step height uniformity on a wafer and adjusting gate formation processes.
    Type: Grant
    Filed: July 25, 2005
    Date of Patent: September 15, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Tsung Tso, Jiun-Hong Lai, Mei-Jen Wu, Li Te Hsu, Pin Chia Su, Po-Zen Chen
  • Publication number: 20090206450
    Abstract: The invention relates to a method of manufacturing a semiconductor device (10) with a substrate (11) and a semiconductor body (2) which is provided with at least one semiconductor element and the surface of which is provided with an aluminum layer (3) that is patterned by means of a chemical-mechanical polishing process, the side of the device (10) covered with the aluminum layer (3) being pressed against a polishing pad (5), the device (10) and the pad (5) being moved with respect to each other, a slurry (6) containing an abrasive and having a pH level lower than about 12 being applied between the device (10) and the pad (5), and the polishing process being continued till a sufficient amount of the aluminum layer (3) has been removed. According to the invention, the slurry (6) between the device (10) and the pad (5) is provided with a pH level lower than 5 and the pH level is created using merely an acid the aluminum salt of which dissolves well in the slurry (6).
    Type: Application
    Filed: April 24, 2007
    Publication date: August 20, 2009
    Applicant: NXP B.V.
    Inventor: Srdjan Kordic
  • Patent number: 7572647
    Abstract: A coil is provided for use in a semiconductor processing system to generate a plasma with a magnetic field in a chamber. The coil comprises a first coil segment, a second coil segment and an internal balance capacitor. The first coils segment has a first end and a second end. The first end of the coil segment is adapted to connect to a power source. The second coil segment has a first and second end. The second end of the first coil segment is adapted to connect to an external balance capacitor. The internal balance capacitor is connected in series between the second end of the first coil segment and the first end of the second coil segment. The internal balance capacitor and the coil segments are adapted to provide a voltage peak along the first coil segment substantially aligned with a virtual ground along the second coil segment.
    Type: Grant
    Filed: February 2, 2007
    Date of Patent: August 11, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Robert Chen, Canfeng Lai, Xinglong Chen, Weiyi Luo, Zhong Qiang Hua, Siqing Lu, Muhammad Rasheed, Qiwei Liang, Dmitry Lubomirsky, Ellie Y. Yieh
  • Publication number: 20090176320
    Abstract: A method for manufacturing a floating gate includes: forming a tunnel oxide film on a semiconductor substrate; forming a polysilicon layer on a surface of the tunnel oxide film; forming a photosensitive film pattern on a surface of the polysilicon layer; depositing a by-product on the photosensitive film to generate a by-product mask; and using the by-product mask as an etching mask to etch the polysilicon layer, completing fabrication of the floating gate. The polysilicon layer may be etched by a simplified process using a by-product mask so as to fabricate the floating gate, the etch rate of the polysilicon layer may be increased to improve productivity, poly bridge problems may be eliminated, and total amount of a gas used in etching the polysilicon layer may be reduced, resulting in an increase in hardware margin and a decrease in the amount of the gas used in this method.
    Type: Application
    Filed: December 27, 2008
    Publication date: July 9, 2009
    Inventors: Jin-Ho Kim, Ki-Min Lee
  • Publication number: 20090170221
    Abstract: Methods for forming dual damascene interconnect structures are provided. The methods incorporate an ashing operation comprising a first ash operation and a second overash operation. The ashing operation is performed prior to etching of an etch stop layer. The operation removes residue from a cavity formed during formation of the interconnect structure and facilitates better CD control without altering the cavity profiles.
    Type: Application
    Filed: December 28, 2007
    Publication date: July 2, 2009
    Applicant: Texas Instruments Incorporated
    Inventors: Jeannette Michelle Jacques, Deepak A. Ramappa
  • Publication number: 20090162951
    Abstract: A method of fabricating non-volatile memory is provided for memory cells employing a charge storage element with multiple charge storage regions. A first charge storage layer is formed over a tunnel dielectric layer at both a memory array region and an endpoint region of a semiconductor substrate. The first charge storage layer is removed from the endpoint region to expose the tunnel dielectric region. A second charge storage layer is formed over the first charge storage layer at the memory array region and over the tunnel dielectric layer at the endpoint region. When etching the second charge storage layer to form the stem regions of the memory cells, the tunnel dielectric layer provides a detectable endpoint signal to indicate that etching for the second charge storage layer is complete.
    Type: Application
    Filed: December 19, 2007
    Publication date: June 25, 2009
    Inventors: Takashi Orimoto, George Matamis, James Kai, Vinod Robert Purayath
  • Publication number: 20090162952
    Abstract: The present invention generally provides methods and apparatus for controlling edge performance during process. One embodiment of the present invention provides an apparatus comprising a chamber body defining a process volume, a gas inlet configured to flow a process gas into the process volume, and a supporting pedestal disposed in the process volume. The supporting pedestal comprises a top plate having a substrate supporting surface configured to receive and support the substrate on a backside, and an edge surface configured to circumscribe the substrate along an outer edge of the substrate, and a height difference between a top surface of the substrate and the edge surface is used to control exposure of an edge region of the substrate to the process gas.
    Type: Application
    Filed: December 19, 2007
    Publication date: June 25, 2009
    Inventors: Wei Liu, Johanes F. Swenberg, Hanh D. Nguyen, Son T. Nguyen, Roger Curtis, Philip A. Bottini, Michael J. Mark
  • Publication number: 20090130780
    Abstract: A method of processing semiconductor wafers includes applying reactive gas through a plurality of inlets to the semiconductor wafers. The method further includes removing exhaust gas resulting from the step of applying reactive gas. The removing of the exhaust gas is through a plurality of outlets coupled to a manifold. The manifold combines the exhaust gas from the plurality of outlets. The method further includes measuring a pressure in each outlet of the plurality of outlets during the step of removing.
    Type: Application
    Filed: November 16, 2007
    Publication date: May 21, 2009
    Inventors: Robert M. Day, Paul E. Lopez
  • Publication number: 20090087929
    Abstract: A chemical processing bath and system used in semiconductor manufacturing utilizes a dynamic spiking model that essentially constantly monitors chemical concentration in the processing bath and adds fresh chemical on a regular basis to maintain chemical concentrations at desirable levels. Etch rates and etch selectivities are maintained at desirable levels and contamination from undesirable precipitation is avoided. The system and method automatically compare concentration levels to a plurality of control limits associated with various technologies and identify the technology or technologies that may undergo processing.
    Type: Application
    Filed: December 21, 2007
    Publication date: April 2, 2009
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tai-Yung Yu, Yu-Sheng Su, Li Te Hsu, Jin Lin Liang, Shih Cheng Yeh, Pin Chia Su
  • Publication number: 20090061544
    Abstract: A method of controlling a plasma processing according to trajectories connecting start and stop values of parameters controlling the plasma processing, for example, gas flow and power supplied to generate the plasma. The trajectories maybe based on equations including at least time as a variable. At set times within the processing, the values of the parameters are updated according to the predetermined trajectories. Sensors associated with the chamber may also adjust the trajectories, provide variables to the equations, and/or define the trajectories.
    Type: Application
    Filed: November 30, 2007
    Publication date: March 5, 2009
    Applicant: Applied Materials, Inc.
    Inventors: JOHN P. HOLLAND, John M. Yamartino, Thorsen B. Lill, Meihua Shen, Alexander Paterson, Valentin N. Todorow
  • Publication number: 20080318344
    Abstract: Embodiments of the present invention relate to methods and systems for making a microelectromechanical system comprising supplying an etchant to etch one or more sacrificial structures of the system.
    Type: Application
    Filed: June 22, 2007
    Publication date: December 25, 2008
    Applicant: QUALCOMM Incorporated
    Inventor: Marjorio Rafanan
  • Publication number: 20080299681
    Abstract: For providing control of two-step or a multi-step deposition process, a method and a corresponding deposition system is provided comprising providing a deposition process having at least two sub-processes employing different sets of process parameters, wherein each set of process parameters comprises at least one process parameter. The method comprises controllably generating an actual value for at least one first process parameter by taking into account at least one previous value of the respective first process parameter, wherein each first process parameter is a process parameter of said at least two sets of process parameters.
    Type: Application
    Filed: January 29, 2008
    Publication date: December 4, 2008
    Inventors: Roland Jaeger, Frank Wagenbreth, Frank Koschinsky
  • Publication number: 20080286887
    Abstract: According to one exemplary embodiment, a method for adjusting a transistor model for increased circuit simulation accuracy includes determining a first gate CD offset by matching a C-V test structure having a normalized channel current to an I-V test structure having the normalized channel current. The method further includes utilizing the first gate CD offset to adjust the transistor model for increased circuit simulation. The method also includes determining a second gate CD offset by varying I-V and C-V gate length parameters in the transistor model to cause simulated data from a test circuit to be approximately equal to measured data from the test circuit. The method further includes utilizing the second gate CD offset to adjust the transistor model.
    Type: Application
    Filed: May 15, 2007
    Publication date: November 20, 2008
    Inventors: Jung-Suk Goo, Qiang Chen
  • Publication number: 20080268553
    Abstract: An electroless plating apparatus is provided. The electroless plating apparatus includes a wafer holder; a chemical dispensing nozzle over the wafer holder; a conduit connected to the chemical dispensing nozzle; and a radiation source over the wafer holder.
    Type: Application
    Filed: April 27, 2007
    Publication date: October 30, 2008
    Inventors: Cheng Hsun Chan, Chien Ling Hwang
  • Publication number: 20080261335
    Abstract: Apparatus and method for endpoint detection are provided for photomask etching. The apparatus provides a plasma etch chamber with a substrate support member. The substrate support member has at least two optical components disposed therein for use in endpoint detection. Enhanced process monitoring for photomask etching are achieved by the use of various optical measurement techniques for monitoring at different locations of the photomask.
    Type: Application
    Filed: October 29, 2007
    Publication date: October 23, 2008
    Inventor: Michael Grimbergen
  • Publication number: 20080241972
    Abstract: A method of manufacturing a semiconductor device includes measuring a first width of a first mask pattern formed in a photomask and a second width of a second mask pattern formed in the photomask, and deciding a temperature of heat treatment of a thickening material over a resist film based on measured results.
    Type: Application
    Filed: March 31, 2008
    Publication date: October 2, 2008
    Applicant: FUJITSU LIMITED
    Inventor: Tomohiko YAMAMOTO
  • Publication number: 20080240188
    Abstract: A method for forming a semiconductor laser chip is provided that can suppress layer discontinuity and simultaneously reduce fabrication variations in the light radiation angle in the horizontal direction. The method includes a step of forming, on an n-type GaAs substrate, a semiconductor element layer composed of a plurality of semiconductor layers including an etching marker layer, a step of forming, in a contact layer in the semiconductor element layer, a depressed portion having a depth not reaching the etching marker layer, and a step of forming a ridge portion by etching the semiconductor element layer by dry etching while monitoring, with laser light, the etching depth in the bottom region of the depressed portion.
    Type: Application
    Filed: March 28, 2008
    Publication date: October 2, 2008
    Inventors: Susumu Ohmi, Katsuhiko Kishimoto