Substrate Is Semiconductor Body (epo) Patents (Class 257/E21.703)
  • Patent number: 11876094
    Abstract: The present application discloses a method for fabricating a semiconductor device. The method includes providing a substrate and concurrently forming a first semiconductor unit, a second semiconductor unit, and a third semiconductor unit in the substrate. The first semiconductor unit has a first insulating stack, the second semiconductor unit has a second insulating stack, and the third semiconductor unit has a third insulating stack; and thicknesses of the first insulating stack, the second insulating stack, and the third insulating stack are all different.
    Type: Grant
    Filed: November 15, 2021
    Date of Patent: January 16, 2024
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Tse-Yao Huang
  • Patent number: 11569230
    Abstract: A method for forming a semiconductor device comprises receiving a structure having a substrate, an isolation structure over the substrate, and a fin over the substrate and adjacent to the isolation structure. The method further includes etching a portion of the fin, resulting in a trench, forming a doped material layer over bottom and sidewalls of the trench, and growing at least one epitaxial layer over the doped material layer in the trench. The method further includes recessing the isolation structure and the doped material layer, leaving a first portion of the at least one epitaxial layer surrounded by the doped material layer and performing an annealing process, thereby driving dopants from the doped material layer into the first portion.
    Type: Grant
    Filed: October 5, 2020
    Date of Patent: January 31, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yong-Yan Lu, Chia-Wei Soong, Hou-Yu Chen
  • Patent number: 11545484
    Abstract: A design method of a dummy pattern layout including the following steps is provided. An integrated circuit layout design including resistor elements is obtained via a computer. The locations of dummy conductive structures are configured, wherein the dummy conductive structures are aligned with the resistor elements. The locations of dummy support patterns are configured, wherein each of the dummy support patterns is configured between two adjacent dummy conductive structures, and each of the dummy conductive structures is equidistant from the dummy support patterns on both sides.
    Type: Grant
    Filed: January 15, 2021
    Date of Patent: January 3, 2023
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Jui-Fa Lu, Chien-Nan Lin, Ching-Hua Yeh
  • Patent number: 11348944
    Abstract: A circuit includes a base silicon layer, a base oxide layer, a first top silicon layer, a second top silicon layer, a first semiconductor device, and a second semiconductor device. The base oxide layer is formed over the base silicon layer. The first top silicon layer is formed over a first region of the base oxide layer and has a first thickness. The second top silicon layer is formed over a second region of the base oxide layer and has a second thickness less than the first thickness. The first semiconductor device is formed over the first top silicon layer and the second semiconductor device is formed over the second top silicon layer. The ability to fabricate a top silicon layers with differing thicknesses can provide a single substrate having devices with different characteristics, such as having both fully depleted and partially depleted devices on a single substrate.
    Type: Grant
    Filed: April 17, 2020
    Date of Patent: May 31, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED
    Inventors: Gulbagh Singh, Kuan-Liang Liu, Wang Po-Jen, Kun-Tsang Chuang, Hsin-Chi Chen
  • Patent number: 11133118
    Abstract: Aspects relate to patterned nanostructures having a feature size not including film thickness of below 5 microns. The patterned nanostructures are made up of nanoparticles having an average particle size of less than 100 nm. A nanoparticle composition, which, in some cases, includes a binder material, is applied to a substrate. A patterned mold used in concert with electromagnetic radiation function to manipulate the nanoparticle composition in forming the patterned nanostructure. In some embodiments, the patterned mold nanoimprints a suitable pattern on to the nanoparticle composition and the composition is cured through UV or thermal energy. Three-dimensional patterned nanostructures may be formed. A number of patterned nanostructure layers may be prepared and suitably joined together. In some cases, a patterned nanostructure may be formed as a layer that is releasable from the substrate upon which it is initially formed.
    Type: Grant
    Filed: May 22, 2013
    Date of Patent: September 28, 2021
    Assignee: University of Massachusetts
    Inventors: James Watkins, Michael R. Beaulieu, Nicholas R. Hendricks
  • Patent number: 11031275
    Abstract: A 3D semiconductor device including: a first level including logic circuits, the logic circuits include a plurality of first single crystal transistors and a first metal layer; a second level including a plurality of second transistors, where the second level includes memory cells including the plurality of second transistors; a second metal layer atop the second level; where the plurality of second transistors are junction-less transistors, where at least one of the plurality of second transistors includes polysilicon, where the memory cells are structured as a plurality of at least sixteen sub-arrays, where each of the sub-arrays is independently controlled, where at least one of the plurality of at least sixteen sub-arrays is at least partially atop at least one of the logic circuits, and where the at least one of the logic circuits is designed to control at least one of the plurality of at least sixteen sub-arrays.
    Type: Grant
    Filed: January 11, 2021
    Date of Patent: June 8, 2021
    Assignee: Monolithic 3D Inc.
    Inventors: Zvi Or-Bach, Brian Cronquist, Deepak C. Sekar
  • Patent number: 10991590
    Abstract: According to an embodiment, a method of forming a porous layer includes forming a porous layer containing a noble metal on a surface made of a semiconductor by displacement plating. The plating solution used in the displacement plating contains a noble metal source, hydrogen fluoride, and an adjusting agent adjusting a pH value or zeta potential. The noble metal source produces an ion containing the noble metal in water. The plating solution has a pH value in a range of 1 to 6.
    Type: Grant
    Filed: March 20, 2019
    Date of Patent: April 27, 2021
    Assignee: KABUSHIKI KAISHA TOSHIBA
    Inventors: Mitsuo Sano, Keiichiro Matsuo, Susumu Obata, Kazuhito Higuchi, Kazuo Shimokawa
  • Patent number: 10930565
    Abstract: A method of fabricating an n-type field effect transistor device (nFET) in a region of a wafer element is provided. The method includes forming a mandrel in the region and growing III-V semiconductor materials on the mandrel. The method also includes pulling the mandrel from a gate space in which a capped gate structure is formable and from source and drain (S/D) contact spaces and growing III-V semiconductor materials in the S/D contact spaces.
    Type: Grant
    Filed: November 1, 2018
    Date of Patent: February 23, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: HsinYu Tsai, Renee T. Mo, Cheng-Wei Cheng, Ko-Tao Lee
  • Patent number: 10840356
    Abstract: A method for forming a semiconductor device includes forming a fin over a substrate, forming an isolation region adjacent the fin, forming a dummy gate structure over the fin, recessing the fin adjacent the dummy gate structure to form a first recess using a first etching process, reshaping the first recess to form a reshaped first recess using a second etching process, wherein the second etching process etches upper portions of the fin adjacent the top of the recess more than the second etching process etches lower portions of the fin adjacent the bottom of the recess, and epitaxially growing a source/drain region in the reshaped first recess. Reshaping the first recess includes performing an oxide etch process, wherein the oxide etch process forms a porous material layer within the recess.
    Type: Grant
    Filed: April 22, 2019
    Date of Patent: November 17, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Yu-Rung Hsu
  • Patent number: 10811507
    Abstract: Embodiments of the invention are directed to configurations of semiconductor devices. A non-limiting example configuration includes a plurality of first transistors formed over a performance region of a major surface of a substrate. Each of the plurality of first transistors includes a first channel fin structure and a first gate structure along at least a portion of a sidewall surface of the first channel fin structure. The first gate structure includes a first gate thickness dimension. A plurality of second transistors is formed over a density region of the major surface of the substrate. Each of the plurality of second transistors includes a second channel fin structure and a second gate structure along at least a portion of a sidewall surface of the second channel fin structure, where the second gate structure includes a second gate thickness dimension that is less than the first gate thickness dimension.
    Type: Grant
    Filed: September 20, 2017
    Date of Patent: October 20, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Brent A. Anderson, Fee Li Lie, Stuart A. Sieg, Junli Wang
  • Patent number: 10811508
    Abstract: Embodiments of the invention are directed to methods of forming a configuration of semiconductor devices. A non-limiting example method includes forming a first channel fin structure over a performance region of a major surface of a substrate. A first gate structure is formed along at least a portion of a sidewall surface of the first channel fin structure, where the first gate structure includes a first gate thickness dimension. A second channel fin structure is formed over a density region of the major surface of the substrate. A second gate structure is formed along at least a portion of a sidewall surface of the second channel fin structure, where the second gate structure includes a second gate thickness dimension that is less than the first gate thickness dimension.
    Type: Grant
    Filed: November 6, 2017
    Date of Patent: October 20, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Brent A. Anderson, Fee Li Lie, Stuart A. Sieg, Junli Wang
  • Patent number: 10756094
    Abstract: Systems and methods are provided for fabricating a static random access memory (SRAM) cell in a multi-layer semiconductor device structure. An example SRAM device includes a first array of SRAM cells, a second array of SRAM cells, a processing component, and one or more inter-layer connection structures. The first array of SRAM cells are formed in a first device layer of a multi-layer semiconductor device structure. The second array of SRAM cells are formed in a second device layer of the multi-layer semiconductor device structure, the second device layer being formed on the first device layer. The processing component is configured to process one or more input signals and generate one or more access signals. One or more inter-layer connection structures are configured to transmit the one or more access signals to activate the first device layer or the second device layer for allowing access to a target SRAM cell.
    Type: Grant
    Filed: April 6, 2018
    Date of Patent: August 25, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Chien-Yu Huang, Chien-Yuan Chen, Hau-Tai Shieh
  • Patent number: 10558292
    Abstract: A display device includes a substrate, pixel electrodes, first electrodes, gate lines, signal lines, switching elements, a conductor, and a driver. The pixel electrodes are arrayed in a display region of the substrate. The first electrodes are separated from the pixel electrodes in a direction perpendicular to the substrate. The gate lines are disposed between the substrate and the first electrodes in the direction perpendicular to the substrate and extend in a plane parallel to the surface of the substrate. The signal lines intersect the gate lines in planar view. The switching elements are provided at the intersections of the gate lines and the signal lines. The conductor is provided opposite to the gate lines and the signal lines across the substrate in the direction perpendicular to the substrate.
    Type: Grant
    Filed: March 6, 2018
    Date of Patent: February 11, 2020
    Assignee: Japan Display Inc.
    Inventors: Takafumi Suzuki, Yasuyuki Teranishi
  • Patent number: 10535780
    Abstract: A multi-stack nanowire device includes a plurality of fins. Each of the fins has a multi-layer stack comprising a first nanowire and a second nanowire. A first portion of the first nanowire and second nanowire are doped to form source and drain regions. A second portion of the first nanowire and second nanowire is channel regions between the source and drain regions. An epitaxial layer wraps around the second portion of first nanowire and second nanowire. A gate is disposed over the second portion of the first nanowire and second nanowire. The epitaxial layer is interposed in between the first nanowire and the second nanowire over the channel region.
    Type: Grant
    Filed: May 8, 2017
    Date of Patent: January 14, 2020
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Mark Van Dal, Gerben Doornbos
  • Patent number: 10446596
    Abstract: The present technology relates to a semiconductor device and a production method that enable noise reduction. A drain region and a source region provided in a predetermined region of a semiconductor substrate; a channel region provided between the drain region and the source region; and a gate electrode formed on the channel region are included. The channel region includes a first impurity diffusion region, and a second impurity diffusion region that is an impurity diffusion region of a same conductivity type as the first impurity diffusion region, and has an impurity concentration different from an impurity concentration of the first impurity diffusion region, and is formed at a substantially center part of the first impurity diffusion region. The present technology can be applied to a transistor that configures an imaging device, for example.
    Type: Grant
    Filed: November 11, 2016
    Date of Patent: October 15, 2019
    Assignee: SONY CORPORATION
    Inventor: Susumu Tonegawa
  • Patent number: 10256151
    Abstract: A method for fabricating a fin field-effect transistor (FinFET) device includes forming a first dielectric layer over a substrate and then etching the first dielectric layer and the substrate to form a first fin and a second fin. A second dielectric layer is formed along sidewalls of the first fin and the second fin. A protection layer is deposited over the first fin and the second fin. A portion of the protection layer and the first dielectric layer on the second fin is removed and the second fin is then recessed to form a trench. A semiconductor material layer is epitaxially grown in the trench. The protection layer is removed to reveal the first fin and the second fin.
    Type: Grant
    Filed: May 26, 2017
    Date of Patent: April 9, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Hao Wang, Ching-Wei Tsai, Chin-Chi Wang
  • Patent number: 10192871
    Abstract: To provide a semiconductor device in which the on-state current is high and the operation speed is high. The semiconductor device includes a transistor, a first circuit, and a second circuit. The transistor includes a first gate and a second gate. The first gate and the second gate overlap with each other with a semiconductor layer positioned therebetween. The first circuit includes a temperature sensor. The temperature sensor obtains temperature information. The first circuit is configured to apply a voltage to the second gate depending on the temperature information. The first circuit preferably includes a comparator. The second circuit is configured to apply a negative voltage to the second gate and hold the negative voltage.
    Type: Grant
    Filed: September 7, 2017
    Date of Patent: January 29, 2019
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Tatsuya Onuki, Kiyoshi Kato
  • Patent number: 10109624
    Abstract: An embodiment of a semiconductor device comprises a transistor cell array in a semiconductor body. The transistor cell array comprises transistor cell units. Each of the transistor cell units comprises a control terminal and first and second load terminals, respectively. The transistor cell units are electrically connected in parallel, and the control terminals of the transistor cells units are electrically connected. A first group of the transistor cell units includes a first threshold voltage. A second group of the transistor cell units includes a second threshold voltage larger than the first threshold voltage. A channel width of a transistor cell unit of the first group is smaller than a channel width of a transistor cell unit of the second group.
    Type: Grant
    Filed: April 20, 2017
    Date of Patent: October 23, 2018
    Assignee: Infineon Technologies AG
    Inventors: Markus Bina, Franz-Josef Niedernostheide, Alexander Philippou
  • Patent number: 9985416
    Abstract: A semiconductor light emitter device, comprising a substrate, an active layer made of Germanium, which is configured to emit light under application of an operating voltage to the semiconductor light emitter device, wherein a gap is arranged on the substrate, which extends between two bridgeposts laterally spaced from each other, the active layer is arranged on the bridgeposts and bridges the gap, and wherein the semiconductor light emitter device comprises a stressor layer, which induces a tensile strain in the active layer above the gap.
    Type: Grant
    Filed: February 11, 2013
    Date of Patent: May 29, 2018
    Assignee: IHP GMBH—INNOVATIONS FOR HIGH PERFORMANCE MICROELECTRONICS—INSTITUT FUR INNOVATIVE MIKROELEKTRONIK
    Inventors: Giovanni Capellini, Christian Wenger, Thomas Schroder, Grzegorz Kozlowski
  • Patent number: 9871104
    Abstract: A nanowire comprises a source region, a drain region and a channel region. The source region is modified to reduce the lifetime of minority carriers within the source region. In an embodiment the modification may be performed by implanting either amorphizing dopants or lifetime reducing dopants. Alternatively, the source may be epitaxially grown with a different materials or process conditions to reduce the lifetime of minority carriers within the source region.
    Type: Grant
    Filed: June 30, 2015
    Date of Patent: January 16, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Gerben Doornbos, Mark van Dal
  • Patent number: 9865710
    Abstract: A dual width SOI FinFET is disclosed in which different portions of a strained fin have different widths. A method of fabrication of such a dual width FinFET entails laterally recessing the strained fin in the source and drain regions using a wet chemical etching process so as to maintain a high degree of strain in the fin while trimming the widths of fin portions in the source and drain regions to less than 5 nm. The resulting FinFET features a wide portion of the fin in the channel region underneath the gate, and a narrower portion of the fin in the source and drain regions. An advantage of the narrower fin is that it can be more easily doped during the growth of the epitaxial raised source and drain regions.
    Type: Grant
    Filed: September 2, 2015
    Date of Patent: January 9, 2018
    Assignee: STMICROELECTRONICS, INC.
    Inventor: Qing Liu
  • Patent number: 9859434
    Abstract: Semiconductor devices and methods for manufacturing the same are provided. An example semiconductor device may include: a Semiconductor on Insulator (SOI) substrate, including a base substrate, a buried dielectric layer and an SOI layer, an active area disposed on the SOI substrate and including a first sub-area and a second sub-area, wherein the first sub-area includes a first fin portion, the second sub-area includes a second fin portion opposite to the first fin portion, and at least one of the first sub-area and the second sub-area includes a laterally extending portion; a back gate arranged between the first fin portion and the second fin portion; back gate dielectric layers sandwiched between the back gate and the respective fin portions; and a gate stack formed on the active area.
    Type: Grant
    Filed: October 29, 2013
    Date of Patent: January 2, 2018
    Assignee: Institute of Microelectronics, Chinese Acadamy of Sciences
    Inventor: Huilong Zhu
  • Patent number: 9786696
    Abstract: An array substrate, a manufacturing method thereof and a display device are provided. The array substrate comprises a base substrate, and a gate line and a common electrode provided in the same layer, a gate insulation layer, an active layer, a source electrode and a drain electrode provided in the same layer; and a pixel electrode provided in the same layer as the active layer, sequentially arranged on the base substrate.
    Type: Grant
    Filed: January 4, 2015
    Date of Patent: October 10, 2017
    Assignees: BOE Technology Group Co., Ltd., Hefei BOE Optoelectronics Technology Co., Ltd.
    Inventor: Sheng Wang
  • Patent number: 9780117
    Abstract: A semiconductor structure is formed with an active layer having an active device including a body region. The active device is formed by top side processing in and on a top side of a semiconductor on insulator wafer. A damaged region is formed within a portion of the body region by bottom side processing at a bottom side of the semiconductor on insulator wafer, the damaged region having a structure sufficient to prevent a kink effect and self-latching in operation of the active device.
    Type: Grant
    Filed: October 22, 2014
    Date of Patent: October 3, 2017
    Assignee: QUALCOMM Incorporated
    Inventors: Paul A. Nygaard, Michael A. Stuber
  • Patent number: 9748954
    Abstract: A calculation device includes a programmable logic device including a plurality of circuit arrangement areas each to which power supply voltage allowed to be independently controlled is supplied and a calculation circuit coupled to the programmable logic device. The calculation circuit arranges a main circuit that executes specific processing in a first circuit arrangement area included in the plurality of circuit arrangement areas, acquires a second circuit arrangement area in which a sub circuit that executes the specific processing is allowed to be arranged, included in the plurality of circuit arrangement areas and in which the main circuit is not arranged, arranges the sub circuit in the second circuit arrangement area, and causes one of the main circuit and the sub circuit to execute the specific processing.
    Type: Grant
    Filed: September 1, 2016
    Date of Patent: August 29, 2017
    Assignee: FUJITSU LIMITED
    Inventor: Masahiko Toichi
  • Patent number: 9685537
    Abstract: A method of fabricating a vertical transistor is provided, the method including providing a starting semiconductor structure, the starting semiconductor structure including a semiconductor substrate, an impurity layer of n-type or p-type over the semiconductor substrate, a first hard mask layer over the semiconductor layer, a first dielectric layer over the first hard mask layer, a second hard mask layer over the first dielectric layer, a second dielectric layer over the second hard mask layer and a protective layer over the second dielectric layer. The method further includes patterning the second dielectric layer and protective layer, the patterning forming an opening therein, forming a wrap-around spacer on an inner sidewall of the opening, the forming leaving a smaller opening, forming a vertical channel, and setting a gate length of a wrap-around gate by removing an outer portion of the structure.
    Type: Grant
    Filed: September 29, 2016
    Date of Patent: June 20, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Ruilong Xie, Tenko Yamashita, Kangguo Cheng, Chun-Chen Yeh
  • Patent number: 9666581
    Abstract: A method of semiconductor fabrication that includes providing a plurality of fins extending from a substrate is described. Each of the plurality of fins has a top surface and two opposing lateral sidewalls. A gate structure is formed over a first region of each of the plurality of fins and interfaces the top surface and the two opposing lateral sidewalls. A source/drain epitaxial feature is formed on a second region of each of the plurality of fins. The source/drain epitaxial feature interfaces the top surface and the two opposing lateral sidewalls. An air gap is provided which is defined by at least one surface of the source/drain epitaxial feature.
    Type: Grant
    Filed: August 21, 2015
    Date of Patent: May 30, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuo-Cheng Ching, Ching-Wei Tsai, Ying-Keung Leung
  • Patent number: 9653463
    Abstract: A method for forming a semiconductor device includes forming a first fin and a second fin on a substrate, the first fin arranged in parallel with the second fin, the first fin arranged a first distance from the second fin, the first fin and the second fin extending from a first source/drain region through a channel region and into a second source/drain region on the substrate. The method further includes forming a third fin on the substrate, the third fin arranged in parallel with the first fin and between the first fin and the second fin, the third fin arranged a second distance from the first fin, the second distance is less than the first distance, the third fin having two distal ends arranged in the first source/drain region. A gate stack is formed over the first fin and the second fin.
    Type: Grant
    Filed: June 3, 2016
    Date of Patent: May 16, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Bruce B. Doris, Terence B. Hook
  • Patent number: 9627419
    Abstract: A display device and a method of manufacturing the same are disclosed, in which a sensing electrode for sensing a touch of a user is built in a display panel, whereby a separate touch screen is not required on an upper surface of the display panel unlike the related art and thus thickness and manufacturing cost are reduced.
    Type: Grant
    Filed: November 9, 2015
    Date of Patent: April 18, 2017
    Assignee: LG Display Co., Ltd.
    Inventors: JongHyun Park, HyunSeok Hong
  • Patent number: 9601456
    Abstract: A system-in-package module includes a non-memory chip, a bundled memory, and an encapsulation package material. The non-memory chip has a plurality of pads. The bundled memory includes a first memory die and a second memory die side-by-side formed over a substrate, wherein the first memory die includes a first group of pads and the second memory die includes a second group of pads. The encapsulation package material encloses the non-memory chip and the bundled memory, and the non-memory chip is electronically coupling with the bundled memory through the plurality of pads, the first and the second group of pads. The first group of pads corresponds to the second group of pads by rotating a predetermined degree or by mirror mapping.
    Type: Grant
    Filed: January 19, 2015
    Date of Patent: March 21, 2017
    Assignee: Etron Technology, Inc.
    Inventors: Bor-Doou Rong, Weng-Dah Ken
  • Patent number: 9589956
    Abstract: A method for forming a semiconductor device includes forming a first fin and a second fin on a substrate, the first fin arranged in parallel with the second fin, the first fin arranged a first distance from the second fin, the first fin and the second fin extending from a first source/drain region through a channel region and into a second source/drain region on the substrate. The method further includes forming a third fin on the substrate, the third fin arranged in parallel with the first fin and between the first fin and the second fin, the third fin arranged a second distance from the first fin, the second distance is less than the first distance, the third fin having two distal ends arranged in the first source/drain region. A gate stack is formed over the first fin and the second fin.
    Type: Grant
    Filed: June 3, 2016
    Date of Patent: March 7, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Bruce B. Doris, Terence B. Hook
  • Patent number: 9590076
    Abstract: A method for manufacturing a FinFET device, including providing a substrate; implementing a source/drain doping on the substrate; etching the doped substrate to form a source region and a drain region; forming a fin channel between the source region and the drain region; and forming a gate on the Fin channel. The fin and the gate are formed after the source/drain doping is implemented on the substrate, so that the source/drain doping is done as a doping for a planar device, which ensures the quality of the source/drain coping and improves the property of the FinFET device.
    Type: Grant
    Filed: August 1, 2014
    Date of Patent: March 7, 2017
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Jinbiao Liu, Yao Wang, Guilei Wang, Tao Yang, Qing Liu, Junfeng Li
  • Patent number: 9508830
    Abstract: A method of forming a FinFET is provided. A gate oxide layer and a dummy poly layer are substantially simultaneously etched using an etchant having a higher selectivity on the gate oxide layer than on the dummy poly layer. The gate oxide layer and the dummy poly layer are intersected with the gate oxide layer over a fin layer of the FinFET.
    Type: Grant
    Filed: January 23, 2014
    Date of Patent: November 29, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Jr-Jung Lin, Chih-Han Lin, Ming-Ching Chang, Chao-Cheng Chen
  • Patent number: 9496400
    Abstract: A semiconductor structure including a multi-faceted epitaxial semiconductor structure within both a source region and a drain region and on exposed surfaces of a semiconductor fin is provided. The multi-faceted epitaxial semiconductor structure includes faceted epitaxial semiconductor material portions located on different portions of each vertical sidewall of the semiconductor fin and a topmost faceted epitaxial semiconductor material portion that is located on an exposed topmost horizontal surface of the semiconductor fin. The multi-faceted epitaxial semiconductor structure has increased surface area and thus an improvement in contact resistance can be obtained utilizing the same.
    Type: Grant
    Filed: December 29, 2015
    Date of Patent: November 15, 2016
    Assignee: International Business Machines Corporation
    Inventors: Karthik Balakrishnan, Kangguo Cheng, Pouya Hashemi, Alexander Reznicek
  • Patent number: 9419015
    Abstract: Methods for integrating core and I/O components in IC devices utilizing a TFT I/O device formed on STI regions, and the resulting devices are disclosed. Embodiments include forming STI and FinFET regions in a Si substrate, the FinFET region having first and second adjacent sections; forming a nitride layer and a silicon layer, respectively, over the STI region and both sections of the FinFET region; removing a first section of the silicon and nitride layers through a mask to expose the first FinFET section; implanting the exposed FinFET section with a dopant; removing remaining sections of the mask; removing a second section of the silicon and nitride layers through a second mask to expose the second FinFET section; implanting the second FinFET section with another dopant; removing remaining sections of the second mask; and forming a TFT on the remaining silicon layer, wherein the TFT channel includes the silicon layer.
    Type: Grant
    Filed: March 13, 2015
    Date of Patent: August 16, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Xusheng Wu, Changyong Xiao, Min-hwa Chi
  • Patent number: 9355915
    Abstract: A method includes providing a substrate having a metal-oxide-semiconductor (MOS) region. The MOS region includes first gate, source, and drain regions for a first device, and second gate, source, and drain regions for a second device. The first gate region has a first length. The second gate region has a second length different from the first length. The method further includes forming first and second fins in the first and second gate regions, forming first and second semiconductor layer stacks over the first and second fins, and performing a thermal oxidation process to the first and second semiconductor layer stacks, thereby forming first and second nanowire sets in the first and second gate regions respectively. The first and second nanowire sets are wrapped by respective semiconductor oxide layers. The first nanowire set has a first diameter. The second nanowire set has a second diameter different from the first diameter.
    Type: Grant
    Filed: July 22, 2015
    Date of Patent: May 31, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuo-Cheng Ching, Jiun-Jia Huang
  • Patent number: 9337180
    Abstract: The semiconductor device of the present invention includes: a MOSFET having a gate electrode formed via a gate insulating film over a semiconductor layer and source and drain regions formed in the semiconductor layer on both sides of the gate electrode; and a diode. The diode has an n+-type semiconductor region, a p-type semiconductor region and a p+-type semiconductor region. Then, the gate electrode is connected to the n+-type semiconductor region via an n-type semiconductor region formed so as to be connected to the n+-type semiconductor region. Also, the p+-type semiconductor region is connected to a semiconductor layer below the gate electrode. In this way, by providing the diode between the back gate and gate electrode of the MOSFET, breakage of the gate insulating film can be prevented.
    Type: Grant
    Filed: April 15, 2015
    Date of Patent: May 10, 2016
    Assignee: Renesas Electronics Corporation
    Inventor: Shigeki Tsubaki
  • Patent number: 8999793
    Abstract: A Multi-Gate Field-Effect Transistor includes a fin-shaped structure, a gate structure, at least an epitaxial structure and a gradient cap layer. The fin-shaped structure is located on a substrate. The gate structure is disposed across a part of the fin-shaped structure and the substrate. The epitaxial structure is located on the fin-shaped structure beside the gate structure. The gradient cap layer is located on each of the epitaxial structures. The gradient cap layer is a compound semiconductor, and the concentration of one of the ingredients of the compound semiconductor has a gradient distribution decreasing from inner to outer. Moreover, the present invention also provides a Multi-Gate Field-Effect Transistor process forming said Multi-Gate Field-Effect Transistor.
    Type: Grant
    Filed: June 17, 2014
    Date of Patent: April 7, 2015
    Assignee: United Microelectronics Corp.
    Inventors: Chin-I Liao, Chia-Lin Hsu, Ming-Yen Li, Yung-Lun Hsieh, Chien-Hao Chen, Bo-Syuan Lee
  • Patent number: 8952418
    Abstract: Some embodiments include gated bipolar junction transistors. The transistors may include a base region between a collector region and an emitter region; with a B-C junction being at an interface of the base region and the collector region, and with a B-E junction being at an interface of the base region and the emitter region. The transistors may include material having a bandgap of at least 1.2 eV within one or more of the base, emitter and collector regions. The gated transistors may include a gate along the base region and spaced from the base region by dielectric material, with the gate not overlapping either the B-C junction or the B-E junction. Some embodiments include memory arrays containing gated bipolar junction transistors. Some embodiments include methods of forming gated bipolar junction transistors.
    Type: Grant
    Filed: March 1, 2011
    Date of Patent: February 10, 2015
    Assignee: Micron Technology, Inc.
    Inventors: Rajesh N. Gupta, Farid Nemati, Scott T. Robins
  • Patent number: 8932938
    Abstract: A method of producing a composite structure comprises a step of producing a first layer of microcomponents on one face of a first substrate, the first substrate being held flush against a holding surface of a first support during production of the microcomponents, and a step of bonding the face of the first substrate comprising the layer of microcomponents onto a second substrate. During the bonding step, the first substrate is held flush against a second support, the holding surface of which has a flatness that is less than or equal to that of the first support used during production of the first layer of microcomponents.
    Type: Grant
    Filed: March 4, 2010
    Date of Patent: January 13, 2015
    Assignee: Soitec
    Inventors: Arnaud Castex, Marcel Broekaart
  • Patent number: 8927353
    Abstract: A fin field effect transistor and method of forming the same. The fin field effect transistor includes a semiconductor substrate having a fin structure and between two trenches with top portions and bottom portions. The fin field effect transistor further includes shallow trench isolations formed in the bottom portions of the trenches and a gate electrode over the fin structure and the shallow trench isolation, wherein the gate electrode is substantially perpendicular to the fin structure. The fin field effect transistor further includes a gate dielectric layer along sidewalls of the fin structure and source/drain electrode formed in the fin structure.
    Type: Grant
    Filed: May 7, 2007
    Date of Patent: January 6, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ju-Wang Hsu, Chih-Yuan Ting, Tang-Xuan Zhong, Yi-Nien Su, Jang-Shiang Tsai
  • Patent number: 8916865
    Abstract: An object is to provide a semiconductor device including an oxide semiconductor, which has stable electric characteristics and high reliability. In a transistor including an oxide semiconductor film, the oxide semiconductor film is subjected to dehydration or dehydrogenation performed by heat treatment. In addition, as a gate insulating film in contact with the oxide semiconductor film, an insulating film containing oxygen, preferably, a gate insulating film including a region containing oxygen with a higher proportion than the stoichiometric composition is used. Thus, oxygen is supplied from the gate insulating film to the oxide semiconductor film. Further, a metal oxide film is used as part of the gate insulating film, whereby reincorporation of an impurity such as hydrogen or water into the oxide semiconductor is suppressed.
    Type: Grant
    Filed: June 7, 2011
    Date of Patent: December 23, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 8907395
    Abstract: A method of forming a semiconductor structure is provided. A substrate having a cell area and a periphery area is provided. A stacked structure including a gate oxide layer, a floating gate and a first spacer is formed on the substrate in the cell area and a resistor is formed on the substrate in the periphery area. At least two doped regions are formed in the substrate beside the stacked structure. A dielectric material layer and a conductive material layer are sequentially formed on the substrate. A patterned photoresist layer is formed on the substrate to cover the stacked structure and a portion of the resistor. The dielectric material layer and the conductive material layer not covered by the patterned photoresist layer are removed, so as to form an inter-gate dielectric layer and a control gate on the stacked structure, and simultaneously form a salicide block layer on the resistor.
    Type: Grant
    Filed: September 25, 2011
    Date of Patent: December 9, 2014
    Assignee: Maxchip Electronics Corp.
    Inventors: Chen-Chiu Hsu, Tung-Ming Lai, Kai-An Hsueh, Ming-De Huang
  • Patent number: 8859347
    Abstract: Embodiments of the present invention provide for the removal of excess carriers from the body of active devices in semiconductor-on-insulator (SOI) structures. In one embodiment, a method of fabricating an integrated circuit is disclosed. In one step, an active device is formed in an active layer of a semiconductor-on-insulator wafer. In another step, substrate material is removed from a substrate layer disposed on a back side of the SOI wafer. In another step, an insulator material is removed from a back side of the SOI wafer to form an excavated insulator region. In another step, a conductive layer is deposited on the excavated insulator region. Depositing the conductive layer puts it in physical contact with a body of an active device in a first portion of the excavated insulator region. The conductive layer then couples the body to a contact in a second detached portion of the excavated insulator region.
    Type: Grant
    Filed: January 21, 2013
    Date of Patent: October 14, 2014
    Assignee: Silanna Semiconductor U.S.A., Inc.
    Inventors: Michael A. Stuber, Stuart B. Molin, Paul A. Nygaard
  • Patent number: 8859355
    Abstract: A method of fabricating a semiconductor device including proving a substrate having a germanium containing layer that is present on a dielectric layer, and etching the germanium containing layer of the substrate to provide a first region including a germanium containing fin structure and a second region including a mandrel structure. A first gate structure may be formed on the germanium containing fin structures. A III-V fin structure may then be formed on the sidewalls of the mandrel structure. The mandrel structure may be removed. A second gate structure may be formed on the III-V fin structure.
    Type: Grant
    Filed: May 6, 2013
    Date of Patent: October 14, 2014
    Assignee: International Business Machines Corporation
    Inventor: Effendi Leobandung
  • Patent number: 8835927
    Abstract: A display substrate includes a gate line extended in one direction of a base substrate, a first data line extended in a direction crossing the gate line, a transverse storage line extended in the extending direction of the gate line and crossing the first data line, a longitudinal storage line extended in the extending direction of the first data line and crossing the transverse storage line, a portion of an overlapping area between the longitudinal storage line and the transverse storage line is exposed in a contact part region having an opening partially exposing the transverse storage line. A contact electrode covers the contact part opening and makes electrical contact with each of the transverse storage line and the longitudinal storage line.
    Type: Grant
    Filed: November 14, 2012
    Date of Patent: September 16, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jong-Woong Chang, Ho-Kyoon Kwon, Kee-Byem Kim, Yun-Soo Kim, Dae-Ho Song
  • Patent number: 8816444
    Abstract: A method for generating a layout for a device having FinFETs from a first layout for a device having planar transistors is disclosed. The planar layout is analyzed and corresponding FinFET structures are generated in a matching fashion. The resulting FinFET structures are then optimized. Dummy patterns and a new metal layer may be generated before the FinFET layout is verified and outputted.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: August 26, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Clement Hsingjen Wann, Chih-Sheng Chang, Yi-Tang Lin, Ming-Feng Shieh, Ting-Chu Ko, Chung-Hsien Chen
  • Patent number: 8796772
    Abstract: Precision resistors for non-planar semiconductor device architectures are described. In a first example, a semiconductor structure includes first and second semiconductor fins disposed above a substrate. A resistor structure is disposed above the first semiconductor fin but not above the second semiconductor fin. A transistor structure is formed from the second semiconductor fin but not from the first semiconductor fin. In a second example, a semiconductor structure includes first and second semiconductor fins disposed above a substrate. An isolation region is disposed above the substrate, between the first and second semiconductor fins, and at a height less than the first and second semiconductor fins. A resistor structure is disposed above the isolation region but not above the first and second semiconductor fins. First and second transistor structures are formed from the first and second semiconductor fins, respectively.
    Type: Grant
    Filed: September 24, 2012
    Date of Patent: August 5, 2014
    Assignee: Intel Corporation
    Inventors: Jeng-Ya D. Yeh, Peter J. Vandervoorn, Walid M. Hafez, Chia-Hong Jan, Curtis Tsai, Joodong Park
  • Patent number: 8796118
    Abstract: Method of producing an integrated electronic circuit comprising at least the steps of: producing a substrate comprising at least a first and second layer of semiconductor between which at least a third layer of material is placed, then producing at least a first MOS device, an active area of which is formed in at least part of the first layer of semiconductor, then producing at least a second MOS device, an active area of which is formed in at least part of the second layer of semiconductor, the active area of the second MOS device being placed between a gate of the second MOS device and the active area of the first MOS device.
    Type: Grant
    Filed: August 22, 2012
    Date of Patent: August 5, 2014
    Assignee: Commissariat a l'energie atomique et aux energies alternatives
    Inventor: Bernard Previtali
  • Patent number: 8766377
    Abstract: A method of forming a back gate transistor device includes forming an open isolation trench in a substrate; forming sidewall spacers in the open isolation trench; and using the open isolation trench to perform a doping operation so as to define a doped well region below a bottom surface of the isolation trench that serves as a back gate conductor, wherein the sidewall spacers prevent contamination of a channel region of the back gate transistor device by dopants.
    Type: Grant
    Filed: November 26, 2012
    Date of Patent: July 1, 2014
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Bruce B. Doris, Ali Khakifirooz, Ghavam G. Shahidi