Substrate Is Semiconductor Body (epo) Patents (Class 257/E21.703)
  • Publication number: 20120007180
    Abstract: FinFET devices are formed with body contact structures enabling the fabrication of such devices having different gate threshold voltages (Vt). A body contact layer is formed to contact the gate electrode (contact) enabling a forward body bias and a reduction in Vt. Two example methods of fabrication (and resulting structures) are provided. In one method, the gate electrode (silicon-based) and body contact layer (silicon) are connected by growing epitaxy which merges the two structures forming electrical contact. In another method, a via is formed that intersects with the gate electrode (suitable conductive material) and body contact layer and is filled with conductive material to electrically connect the two structures. As a result, various FinFETs with different Vt can be fabricated for different applications.
    Type: Application
    Filed: July 6, 2010
    Publication date: January 12, 2012
    Applicant: Globalfoundries Singapore PTE, LTD.
    Inventors: Chunshan Yin, Kian Ming Tan, Jae Gon Lee
  • Patent number: 8080851
    Abstract: A semiconductor structure is disclosed. The semiconductor structure includes a bulk substrate of a first polarity type, a buried insulator layer disposed on the bulk substrate, an active semiconductor layer disposed on top of the buried insulator layer including a shallow trench isolation region and a diffusion region of the first polarity type, a band region of a second polarity type disposed directly beneath the buried insulator layer and forming a conductive path, a well region of the second polarity type disposed in the bulk substrate and in contact with the band region, a deep trench filled with a conductive material of the first polarity type disposed within the well region, and an electrostatic discharge (ESD) protect diode defined by a junction between a lower portion of the deep trench and the well region.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: December 20, 2011
    Assignee: International Business Machines Corporation
    Inventors: John E. Barth, Jr., Kerry Bernstein
  • Patent number: 8072077
    Abstract: Disclosed herein is a semiconductor memory device for reducing a junction resistance and increasing amount of current throughout the unit cell. A semiconductor memory device comprises plural unit cells, each coupled to contacts formed in different shape at both sides of a word line in a cell array.
    Type: Grant
    Filed: December 29, 2008
    Date of Patent: December 6, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Min Hwang
  • Publication number: 20110281384
    Abstract: A method of manufacturing a thin film transistor (TFT) and a method of manufacturing a flat panel display (FPD) using the same. A metal layer made out of Mo having no etch selectivity with a semiconductor layer so that a source electrode, a drain electrode, and an activation layer may be produced using a single mask in a single etch step. The metal layer and the semiconductor layer are simultaneously etched to form the source electrode, the drain electrode, and the activation layer, of a same width so that the area occupied by the TFT may be minimized. When the TFT is applied to the FPD, the maximal aperture ratio of pixels may be obtained and the FPD may be manufactured using only four masks.
    Type: Application
    Filed: December 6, 2010
    Publication date: November 17, 2011
    Applicant: SAMSUNG MOBILE DISPLAY CO. LTD.
    Inventors: Jun-Young Kim, Chung Yi, Shin-Jeong Han, Gyung-Min Ko
  • Patent number: 8043950
    Abstract: It is an object of the present invention to manufacture a micromachine having a plurality of structural bodies with different functions and to shorten the time required for sacrifice layer etching in a process of manufacturing the micromachine. Another object of the present invention is to prevent a structural layer from being attached to a substrate after the sacrifice layer etching. In other words, an object of the present invention is to provide an inexpensive and high-value-added micromachine by improving throughput and yield. The sacrifice layer etching is conducted in multiple steps. In the multiple steps of the sacrifice layer etching, a part of the sacrifice layer that does not overlap with the structural layer is removed by the earlier sacrifice layer etching and a part of the sacrifice layer that is under the structural layer is removed by the later sacrifice layer etching.
    Type: Grant
    Filed: October 24, 2006
    Date of Patent: October 25, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Mayumi Yamaguchi, Konami Izumi
  • Publication number: 20110254011
    Abstract: A display substrate includes a gate line, a gate insulation layer, a data line, a switching element, a protection insulation layer, a gate pad portion and a data pad portion. The gate insulation layer is disposed on the gate line. The switching element is connected to the gate line and the data line. The protection insulation layer is disposed on the switching element. The gate pad portion includes a first gate pad electrode which makes contact with an end portion of the gate line through a first hole formed through the gate insulation layer, and a second gate pad electrode which makes contact with the first gate pad electrode through a second hole formed through the protection insulation layer. The data pad portion includes a data pad electrode which makes contact with an end portion of the data line through a third hole formed through the protection insulation layer.
    Type: Application
    Filed: October 12, 2010
    Publication date: October 20, 2011
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Young-Min KIM, Bo-Sung KIM, Seon-Pil JANG, Seung-Hwan CHO, Kang-Moon JO
  • Publication number: 20110248277
    Abstract: A method of crystallizing an amorphous silicon layer, a method of manufacturing a thin film transistor using the same, and a thin film transistor using the manufacturing method, the crystallizing method including: forming an amorphous silicon layer; positioning crystallization catalyst particles on the amorphous silicon layer to be separated from each other; selectively removing the crystallization catalyst particles from a portion of the amorphous silicon layer; and crystallizing the amorphous silicon layer by a heat treatment.
    Type: Application
    Filed: December 22, 2010
    Publication date: October 13, 2011
    Applicant: Samsung Mobile Display Co., Ltd.
    Inventors: Dong-Hyun LEE, Ki-Yong LEE, Jin-Wook Seo, Min-Jae Jeong, Yong-Duck Son, Byung-Soo So, Seung-Kyu Park, Kil-Won Lee, Yun-Mo Chung, Byoung-Keon Park, Jong-Ryuk Park, Tak-Young Lee, Jae-Wan Jung
  • Publication number: 20110233522
    Abstract: Techniques for embedding silicon germanium (e-SiGe) source and drain stressors in nanoscale channel-based field effect transistors (FETs) are provided. In one aspect, a method of fabricating a FET includes the following steps. A doped substrate having a dielectric thereon is provided. At least one silicon (Si) nanowire is placed on the dielectric. One or more portions of the nanowire are masked off leaving other portions of the nanowire exposed. Epitaxial germanium (Ge) is grown on the exposed portions of the nanowire. The epitaxial Ge is interdiffused with Si in the nanowire to form SiGe regions embedded in the nanowire that introduce compressive strain in the nanowire. The doped substrate serves as a gate of the FET, the masked off portions of the nanowire serve as channels of the FET and the embedded SiGe regions serve as source and drain regions of the FET.
    Type: Application
    Filed: March 25, 2010
    Publication date: September 29, 2011
    Applicant: International Business Machines Corporation
    Inventors: Guy Cohen, Conal E. Murray, Michael J. Rooks
  • Publication number: 20110221991
    Abstract: A thin film transistor, a manufacturing method thereof, and a display device having the same are disclosed. The thin film transistor includes a semiconductor layer formed on a substrate, a gate insulating layer formed on the substrate including the semiconductor layer, a gate electrode formed on the gate insulating above the semiconductor layer, source and drain electrodes connected to the semiconductor layer, and 3.5 to 4.5 protrusions formed on the semiconductor layer overlapped with the gate electrode. Malfunction of the thin film transistor and inferior image quality of the display device can be prevented by adjusting the number of protrusions to minimize leakage current and defects.
    Type: Application
    Filed: December 13, 2010
    Publication date: September 15, 2011
    Applicant: SAMSUNG MOBILE DISPLAY CO., LTD.
    Inventors: Sung-In Ro, Ji-Yong Park, Kyung-Min Park, Jin-Suk Park, Seong-Yeun Kang
  • Publication number: 20110220906
    Abstract: The present invention discloses pixel structures and fabrication methods thereof. The pixel includes a thin film transistor forming at a thin film transistor region and a storage capacitor forming at a pixel electrode region. The method includes: forming a gate conduction layer on a substrate; forming a gate insulation layer on the gate conduction layer; forming a source conduction layer and a drain conduction layer on the gate insulation layer, in which the drain conduction layer has an extension section extending to the pixel electrode region; forming a channel layer on the source conduction layer and the drain conduction layer; and forming a protection layer on the channel layer. The extension section and an electrode layer serve as the upper and lower electrode of the storage capacitor, respectively. Wherein the gate conduction layer, the source conduction layer, the drain conduction layer, and the channel layer are made of metallic oxides.
    Type: Application
    Filed: May 28, 2010
    Publication date: September 15, 2011
    Applicant: PRIME VIEW INTERNATIONAL CO., LTD.
    Inventors: SUNG-HUI HUANG, HENRY WANG, FANG AN SHU, TED-HONG SHINN
  • Patent number: 8003546
    Abstract: In a method of growing silicon (Si) using a reactor, a supercritical fluid including a silicon Si source and hydrogen flows in the reactor, and the Si source reacts with hydrogen. A base substrate of a solar cell may be formed with Si made using the method of growing silicon (Si). The supercritical fluid may be a fluid in which Si is not oxidized and may be, for example, a CO2 supercritical fluid with a pressure of about 60 to about 200 atm. The Si source may be TriChloroSilane (TCS) (SiCl3H) or SiH4.
    Type: Grant
    Filed: August 13, 2009
    Date of Patent: August 23, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-hyun Lee, Chang-soo Lee, Dong-joon Ma
  • Publication number: 20110189825
    Abstract: In sophisticated SOI devices, circuit elements, such as substrate diodes, may be formed in the crystalline substrate material on the basis of a substrate window, wherein the pronounced surface topography may be compensated for or at least reduced by performing additional planarization processes, such as the deposition of a planarization material, and a subsequent etch process when forming the contact level of the semiconductor device.
    Type: Application
    Filed: October 28, 2010
    Publication date: August 4, 2011
    Inventors: Jens Heinrich, Kai Frohberg, Sven Mueller, Kerstin Ruttloff
  • Publication number: 20110186845
    Abstract: Provided is a thin film transistor that includes a gate electrode formed in one major plane of a substrate, a gate insulating film covering the gate electrode, a semiconductor film formed opposite to the gate electrode with the gate insulating film interposed and including a first amorphous region to serve as a source region, a second amorphous region to serve as a drain region, and a crystalline region to serve as a channel region disposed between the first amorphous region and the second amorphous region, and a source electrode and a drain electrode formed above the semiconductor film without direct contact with the crystalline region and electrically connected to the source region and the drain region, respectively.
    Type: Application
    Filed: December 14, 2010
    Publication date: August 4, 2011
    Applicant: MITSUBISHI ELECTRIC CORPORATION
    Inventors: Kazushi YAMAYOSHI, Kazutoshi Aoki
  • Publication number: 20110169001
    Abstract: A this film transistor is provided. The thin film transistor includes a semiconductor layer including a source region, a drain region, and a channel region, wherein the channel region is provided between the source region and the drain region; and a gate electrode overlapping with the channel region, wherein the channel region includes at least a portion of a channel width that is configured to at least one of continuously decrease and continuously increase in a lengthwise direction.
    Type: Application
    Filed: January 5, 2011
    Publication date: July 14, 2011
    Applicant: SONY CORPORATION
    Inventors: Yoshitaka Ozeki, Yasuhito Kuwahara, Shigetaka Toriyama, Hiroyuki Ikeda
  • Publication number: 20110169064
    Abstract: A read transistor for single poly non-volatile memory using a body contacted SOI transistor and a method of manufacturing the same is provided. The non-volatile random access memory is formed in silicon on insulator (SOI). The non-volatile random access memory includes a read field effect transistor (FET) having a body contact formed in the silicon of the SOI. The body contact is in electrical contact with a diffusion region under a gate of the read FET.
    Type: Application
    Filed: January 11, 2010
    Publication date: July 14, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Anthony I. Chou, Arvind Kumar
  • Publication number: 20110159646
    Abstract: A TFT includes a zinc oxide (ZnO)-based channel layer having a plurality of semiconductor layers. An uppermost of the plurality of semiconductor layers has a Zn concentration less than that of a lower semiconductor layer to suppress an oxygen vacancy due to plasma. The uppermost semiconductor layer of the channel layer also has a tin (Sn) oxide, a chloride, a fluoride, or the like, which has a relatively stable bonding energy against plasma. The uppermost semiconductor layer is relatively strong against plasma shock and less decomposed when being exposed to plasma, thereby suppressing an increase in carrier concentration.
    Type: Application
    Filed: March 4, 2011
    Publication date: June 30, 2011
    Inventors: Myung-kwan Ryu, Tae-sang Kim, Jang-yeon Kwon, Kyung-bae Park, Kyung-seok Son, Ji-sim Jung
  • Publication number: 20110149656
    Abstract: Embodiments of the invention pertain to vertical memory structures. Embodiments of the invention describe memory nodes comprising two memory cells on opposing sides of a vertical channel separating a source region and a drain region. Embodiments of the invention may utilize floating gate NAND memory cells, polysilicon diodes, MiM diodes, or MiiM diodes. Embodiments of the invention may be used to form flash memory, RRAM, Memristor RAM, Oxide Ram or OTPROM.
    Type: Application
    Filed: December 23, 2009
    Publication date: June 23, 2011
    Inventors: Sanh D. Tang, Nishant Sinha, John Zahurak
  • Patent number: 7960791
    Abstract: Disclosed is a method of forming a pair of transistors by epitaxially growing a pair of silicon fins on a silicon germanium fin on a bulk wafer. In one embodiment a gate conductor between the fins is isolated from a conductor layer on the bulk wafer so a front gate may be formed. In another embodiment a gate conductor between the fins contacts a conductor layer on the bulk wafer so a back gate may be formed. In yet another embodiment both of the previous structures are simultaneously formed on the same bulk wafer. The method allow the pairs of transistors to be formed with a variety of features (e.g., strained fins, a space between two fins that is approximately 0.5 to 3 times greater than a width of a single fin, a first dielectric layer on the inner sidewalls of each pair of fins with a different thickness and/or a different dielectric material than a second dielectric layer on the outer sidewalls of each pair of fins, etc.).
    Type: Grant
    Filed: June 24, 2005
    Date of Patent: June 14, 2011
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Publication number: 20110129968
    Abstract: A thin film transistor comprises a substrate; a semiconductor layer disposed on the substrate, the semiconductor layer having a source region, a drain region, and a channel region between the source region and the drain region; a gate insulating layer disposed on the semiconductor layer and on the substrate; a gate electrode disposed on the insulating layer over the channel region; an passivation layer disposed on the gate electrode and the gate insulating layer; a source electrode disposed in contact with upper, lower and side surfaces of the source region via a first contact hole through passivation layer, the gate insulating layer and the semiconductor layer; and a drain electrode disposed in contact with upper, lower and side surfaces of the drain region via a second contact hole through the passivation layer, the gate insulating layer and the semiconductor layer.
    Type: Application
    Filed: February 8, 2011
    Publication date: June 2, 2011
    Inventor: Jae-Bum PARK
  • Publication number: 20110121309
    Abstract: A method of fabricating an organic light emitting diode (OLED) display device having a thin film transistor including a polysilicon layer. The method of fabricating a polysilicon layer includes forming a buffer layer on a substrate, forming a metal catalyst layer on the buffer layer, diffusing a metal catalyst into the metal catalyst layer to the buffer layer, removing the metal catalyst layer, forming an amorphous silicon layer on the buffer layer, and annealing the substrate to crystallize the amorphous silicon layer into a polysilicon layer. The thin film transistor includes a substrate, a buffer layer disposed on the substrate, a semiconductor layer disposed on the buffer layer, a gate insulating layer disposed above the substrate and on the semiconductor layer, a gate electrode disposed on the gate insulating layer, a source electrode and a drain electrode both electrically connected to the semiconductor layer, and a metal silicide disposed between the buffer layer and the semiconductor layer.
    Type: Application
    Filed: September 24, 2010
    Publication date: May 26, 2011
    Applicant: Samsung Mobile Display Co., Ltd.
    Inventors: Dong-Hyun LEE, Ki-Yong Lee, Jin-Wook Seo, Tae-Hoon Yang, Yun-Mo Chung, Byoung-Keon Park, Kil-Won Lee, Jong-Ryuk Park, Bo-Kyung Choi, Byung-Soo So
  • Publication number: 20110124163
    Abstract: A manufacturing method of a thin film transistor (TFT) includes forming a gate electrode including a metal that can be combined with silicon to form silicide on a substrate and forming a gate insulation layer by supplying a gas which includes silicon to the gate electrode at a temperature below about 280° C. The method further includes forming a semiconductor on the gate insulation layer, forming a data line and a drain electrode on the semiconductor and forming a pixel electrode connected to the drain electrode.
    Type: Application
    Filed: February 3, 2011
    Publication date: May 26, 2011
    Inventors: Byoung-June KIM, Jae-Ho Choi, Chang-Oh Jeong, Sung-Hoon Yang, Je-Hun Lee, Do-Hyun Kim, Hwa-Yeul Oh, Yong-Mo Choi
  • Patent number: 7948016
    Abstract: The present disclosure provides a method of making a thin film semiconductor device such as a transistor comprising the steps of: a) providing a substrate bearing first and second conductive zones which define a channel therebetween, where the channel does not border more than 75% of the perimeter of either conductive zone; and b) depositing a discrete aliquot of a solution comprising an organic semiconductor adjacent to or on the channel, where a majority of the solution is deposited to one side of the channel and not on the channel. In some embodiments of the present disclosure, the solution is deposited entirely to one side of the channel, not on the channel, and furthermore the solution is deposited in a band having a length that is less than the channel length. The present disclosure additionally provides thin film semiconductor devices such as a transistors.
    Type: Grant
    Filed: November 3, 2009
    Date of Patent: May 24, 2011
    Assignee: 3M Innovative Properties Company
    Inventors: Scott M. Schnobrich, Robert S. Clough, Dennis E. Vogel, Michael E. Griffin
  • Publication number: 20110108920
    Abstract: A device and method for fabrication of fin devices for an integrated circuit includes forming fin structures in a semiconductor material of a semiconductor device wherein the semiconductor material is exposed on sidewalls of the fin structures. A donor material is epitaxially deposited on the exposed sidewalls of the fin structures. A condensation process is applied to move the donor material through the sidewalls into the semiconductor material such that accommodation of the donor material causes a strain in the semiconductor material of the fin structures. The donor material is removed, and a field effect transistor is formed from the fin structure.
    Type: Application
    Filed: November 9, 2009
    Publication date: May 12, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: VEERARAGHAVAN S. BASKER, Kangguo Cheng, Bruce B. Doris, Johnathan E. Faltermeier, Ali Khakifirooz
  • Publication number: 20110104859
    Abstract: A manufacturing method of a semiconductor device is provided, which includes a process in which a transistor is formed over a first substrate; a process in which a first insulating layer is formed over the transistor; a process in which a first conductive layer connected to a source or a drain of the transistor is formed; a process in which a second substrate provided with a second insulating layer is arranged so that the first insulating layer is attached to the second insulating layer; a process in which the second insulating layer is separated from the second substrate; and a process in which a third substrate provided with a second conductive layer which functions as an antenna is arranged so that the first conductive layer is electrically connected to the second conductive layer.
    Type: Application
    Filed: November 23, 2010
    Publication date: May 5, 2011
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Shunpei YAMAZAKI, Ryosuke WATANABE, Jun KOYAMA
  • Publication number: 20110101456
    Abstract: Non-planar transistors, such as FINFETs, may be formed on the basis of a globally strained semiconductor material, thereby preserving a high uniaxial strain component in the resulting semiconductor fins. In this manner, a significant performance enhancement may be achieved without adding process complexity when implementing FINFET transistors.
    Type: Application
    Filed: October 6, 2010
    Publication date: May 5, 2011
    Inventors: Jan Hoentschel, Sven Beyer, Uwe Griebenow
  • Patent number: 7935619
    Abstract: Methods of forming polarity dependent switches for resistive sense memory are described. Methods for forming a memory unit include implanting dopant material more heavily in a source contact than a bit contact of a semiconductor transistor, and electrically connecting a resistive sense memory cell to the bit contact. The resistive sense memory cell is configured to switch between a high resistance state and a low resistance state upon passing a current through the resistive sense memory cell.
    Type: Grant
    Filed: May 5, 2010
    Date of Patent: May 3, 2011
    Assignee: Seagate Technology LLC
    Inventors: Chulmin Jung, Maroun Georges Khoury, Yong Lu, Young Pil Kim
  • Publication number: 20110095268
    Abstract: A transistor includes at least three terminals comprising a gate electrode, a source electrode and a drain electrode, an insulating layer disposed on a substrate, and a semiconductor layer disposed on the substrate, wherein a current which flows between the source electrode and the drain electrode is controlled by application of a voltage to the gate electrode, where the semiconductor layer includes a graphene layer and at least one of a metal atomic layer and a metal ion layer, and where the metal atomic layer or the metal ion layer is interposed between the graphene layer and the insulating layer.
    Type: Application
    Filed: October 25, 2010
    Publication date: April 28, 2011
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jae-young CHOI, Hyeon-jin SHIN, Seon-mi YOON, Won-mook CHOI
  • Publication number: 20110084337
    Abstract: As for a semiconductor device which is typified by a display device, it is an object to provide a highly reliable semiconductor device to which a large-sized or high-definition screen is applicable and which has high display quality and operates stably. By using a conductive layer including Cu as a long lead wiring, an increase in wiring resistance is suppressed. Further, the conductive layer including Cu is provided in such a manner that it does not overlap with the semiconductor layer in which a channel region of a TFT is formed, and is surrounded by insulating layers including silicon nitride, whereby diffusion of Cu can be prevented; thus, a highly reliable semiconductor device can be manufactured. Specifically, a display device which is one embodiment of a semiconductor device can have high display quality and operate stably even when the size or definition thereof is increased.
    Type: Application
    Filed: October 5, 2010
    Publication date: April 14, 2011
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Shunpei Yamazaki, Jun Koyama, Hideki Uochi, Yasuo Nakamura, Junpei Sugao
  • Publication number: 20110084260
    Abstract: The present invention disclosed an organic thin film transistor, an organic thin film transistor array substrate and an organic thin film transistor display. The present invention disclosed organic materials which is proper for the application to a large screen display. The presentation also disclosed structures and a method for manufacturing such an organic thin film transistor, the organic thin film transistor array substrate and the organic thin film transistor display.
    Type: Application
    Filed: December 17, 2010
    Publication date: April 14, 2011
    Applicant: SAMSUNG ELECTRONICS CO., LTD
    Inventors: Bo Sung KIM, Mun-Pyo HONG, Min-Seong RYU, Yong-Uk LEE
  • Publication number: 20110079792
    Abstract: Provided are a semiconductor device and a method of fabricating the semiconductor device, the semiconductor device including: a source trace, a drain trace, and a gate trace placed on a substrate; a transistor which is placed on the drain trace and includes a source pad and a gate pad; insulating films placed between the drain and source traces and between the drain and gate traces on the substrate so as to cover sidewall surfaces of the transistor; a source spray electrode which is placed on the insulating film between the source and drain traces and connects the source pad of the transistor and the source trace; and a gate spray electrode placed on the insulating film between the gate and drain traces and connects the gate pad of the transistor and the gate trace.
    Type: Application
    Filed: September 7, 2010
    Publication date: April 7, 2011
    Applicants: ARKANSAS POWER ELECTRONICS INTERNATIONAL, INC., ROHM CO., LTD.
    Inventors: Alexander B. Lostetter, Jared Hornberger, Takukazu Otsuka
  • Publication number: 20110079784
    Abstract: Embodiments relate to a thin film transistor using an oxide semiconductor as an active layer, a method of manufacturing the thin film transistor, and an organic light emitting display device having the thin film transistor. In one embodiment, the thin film transistor includes a substrate, a first gate electrode formed over the substrate, a gate insulating layer formed over the first gate electrode and substrate and an active layer, comprising an oxide semiconductor, formed on the gate insulating layer. The transistor further includes a passivation layer formed on the active layer, source and drain electrodes formed on the passivation layer and electrically connected to the active layer and a second gate electrode formed on the passivation layer and located between the source electrode and the drain electrode.
    Type: Application
    Filed: September 28, 2010
    Publication date: April 7, 2011
    Applicant: Samsung Mobile Display Co., Ltd.
    Inventor: Ki-Ju Im
  • Publication number: 20110073842
    Abstract: Provided is a method for fabricating a nano-wire field effect transistor including steps of: preparing an SOI substrate having a (100) surface orientation, and nano-wire field effect transistor where two triangular columnar members configuring the nano-wires and being made of a silicon crystal layer are arranged one above the other on an SOI substrate having a (100) surface such a way that the ridge lines of the triangular columnar members face via an insulator; processing the silicon crystal configuring the SOI substrate into a standing plate-shaped member having a rectangular cross-section; and as a nanowire, processing the silicon crystal by orientation dependent wet etching into a shape where two triangular columnar members are arranged one above the other in such a way that the ridge lines of the triangular columnar members configuring the nano-wires face through the ridge lines thereof, and an integrated circuit including the nano-wire field effect transistor.
    Type: Application
    Filed: June 5, 2009
    Publication date: March 31, 2011
    Applicant: National Institue of Advanced Industrial Science and Technology
    Inventors: Yongxun Liu, Takashi Matsukawa, Kazuhiko Endo, Shinichi Ouchi, Kunihiro Sakamoto, Meishoku Masahara
  • Publication number: 20110073856
    Abstract: To achieve, in an oxide semiconductor thin layer transistor, both the stability of threshold voltage against electric stress and suppression of variation in the threshold voltage in a transfer characteristic. A thin film transistor includes an oxide semiconductor layer and a gate insulating layer disposed so as to be in contact with the oxide semiconductor layer, wherein the oxide semiconductor layer contains hydrogen atoms and includes at least two regions that function as active layers of the oxide semiconductor and have different average hydrogen concentrations in the layer thickness direction; and when the regions functioning as the active layers of the oxide semiconductor are sequentially defined as, from the side of the gate insulating layer, a first region and a second region, the average hydrogen concentration of the first region is lower than the average hydrogen concentration of the second region.
    Type: Application
    Filed: September 27, 2010
    Publication date: March 31, 2011
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Ayumu Sato, Hideya Kumomi, Ryo Hayashi, Tomohiro Watanabe
  • Publication number: 20110073918
    Abstract: A semiconductor device includes a thin-film transistor 126 and a thin-film diode 127. The respective semiconductor layers 109t and 109d of the thin-film transistor 126 and the thin-film diode 127 are portions of a single crystalline semiconductor layer obtained by crystallizing the same amorphous semiconductor film. The semiconductor layer 109t of the thin-film transistor 126 does include a catalyst element that promotes crystallization of the amorphous semiconductor film. But the semiconductor layer 109d of the thin-film diode 127 includes substantially no catalyst elements.
    Type: Application
    Filed: May 26, 2009
    Publication date: March 31, 2011
    Inventor: Naoki MAKITA
  • Publication number: 20110068401
    Abstract: A semiconductor device of an embodiment includes a substrate and a plurality of fins formed on the substrate. The plurality of fins is arranged so that a first distance and a second distance narrower than the first distance are repeated. In addition, the plurality of fins include a semiconductor region in which an impurity concentration of lower portions of side surfaces facing each other in sides forming the first distance is higher than an impurity concentration of lower portions of side surfaces facing each other in sides forming the second distance.
    Type: Application
    Filed: September 14, 2010
    Publication date: March 24, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Takashi Izumida, Nobutoshi Aoki, Masaki Kondo, Yoshiaki Asao, Satoshi Inaba
  • Patent number: 7910927
    Abstract: A gate wire and a storage electrode wire extending in a transverse direction are provided, and a data wire extending in a longitudinal direction intersects the gate wire and the storage electrode wire. A plurality of pixel electrodes and a plurality of TFTs are provided on pixel areas defined by the intersections of the data wire and the gate wire. The storage electrode wire is interconnected by a plurality of storage electrodes connections provided on the pixel areas. In this way, a common bar disposed between gate pads and a display area is omitted or has reduced width. Therefore, the fan-out areas becomes to have sufficient size to reduce the resistance difference between the signal lines.
    Type: Grant
    Filed: March 1, 2010
    Date of Patent: March 22, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Soo Kim, Dong-Gyu Kim
  • Patent number: 7902549
    Abstract: The present invention relates to a process for vapor depositing a low dielectric insulating film, a thin film transistor using the same, and a preparation method thereof, and more particularly to a process for vapor deposition of low dielectric insulating film that can significantly improve a vapor deposition speed while maintaining properties of the low dielectric insulating film, thereby solving parasitic capacitance problems to realize a high aperture ratio structure, and can reduce a process time by using silane gas when vapor depositing an insulating film by a CVD or PECVD method to form a protection film for a semiconductor device. The present invention also relates to a thin film transistor using the process and preparation method thereof.
    Type: Grant
    Filed: December 5, 2007
    Date of Patent: March 8, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Hoon Yang, Wan-Shick Hong, Kwan-Wook Jung
  • Patent number: 7892955
    Abstract: A crystallization method using a mask includes providing a substrate having a semiconductor layer; positioning a mask over the substrate, the mask having first, second and third blocks, each block having a periodic pattern including a plurality of transmitting regions and a blocking region, the periodic pattern of the first block having a first position, the periodic pattern of the second block having a second position, the periodic pattern of the third block having a third position, the first, second and third positions being different from each other; and crystallizing the semiconductor layer by irradiating a laser beam through the mask.
    Type: Grant
    Filed: March 22, 2010
    Date of Patent: February 22, 2011
    Assignee: LG Display Co., Ltd.
    Inventor: JaeSung You
  • Publication number: 20110039377
    Abstract: A method and apparatus for producing a relatively thin, relatively uniform semiconductor layer which has improved carrier mobility. In an embodiment, a lattice-matched insulator layer is formed on a semiconductor substrate, and a lattice-matched semiconductor layer is formed on the insulator layer to form a relatively thin, relatively uniform semiconductor on insulator apparatus. In embodiments of the method and apparatus, energy band characteristics may be used to facilitate the extraction of the well-region minority carriers.
    Type: Application
    Filed: October 25, 2010
    Publication date: February 17, 2011
    Inventors: Been-Yih Jin, Reza Arghavani, Robert Chau
  • Publication number: 20110033990
    Abstract: It is an object of an invention disclosed in the present specification to provide a transistor having low contact resistance. In the transistor, a semiconductor film including an impurity element imparting P-type or N-type conductivity, an insulating film formed thereover, and an electrode or a wiring that is electrically connected to the semiconductor film through a contact hole formed at least in the insulating film are included; the semiconductor film has a first range of a concentration of the impurity element (1×1020/cm3 or less) that is included in a deeper region than predetermined depth, and a second range of a concentration of the impurity element (more than 1×1020/cm3) that is included in a shallower region than the predetermined depth; and a deeper region than a portion in contact with the electrode or the wiring in the semiconductor film is in the first range of the concentration of the impurity element.
    Type: Application
    Filed: October 20, 2010
    Publication date: February 10, 2011
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Atsuo ISOBE, Keiko SAITO, Tomohiko SATO
  • Publication number: 20110027949
    Abstract: Provided is a semiconductor device formed to an SOI substrate including a MOS transistor in which a parasitic MOS transistor is suppressed. The semiconductor device formed on the SOI substrate by employing a LOCOS process is structured such that a part of a polysilicon layer to becomes a gate electrode includes: a first conductivity type polysilicon region corresponding to a region of the silicon active layer which has a constant thickness and is to become a channel; and second conductivity type polysilicon regions corresponding to LOCOS isolation edges in each of which a thickness of the silicon active layer decreases.
    Type: Application
    Filed: October 8, 2010
    Publication date: February 3, 2011
    Inventors: Hideo YOSHINO, Hisashi HASEGAWA
  • Publication number: 20110017979
    Abstract: An apparatus or method can include forming a graphene layer including a working surface, forming a polyvinyl alcohol (PVA) layer upon the working surface of the graphene layer, and forming a dielectric layer upon the PVA layer. In an example, the PVA layer can be activated and the dielectric layer can be deposited on an activated portion of the PVA layer. In an example, an electronic device can include such apparatus, such as included as a portion of graphene field-effect transistor (GFET), or one or more other devices.
    Type: Application
    Filed: July 19, 2010
    Publication date: January 27, 2011
    Applicant: The Trustees of Columbia University in the City of New York
    Inventors: Inanc Meric, Kenneth Shepard, Noah J. Tremblay, Philip Kim, Colin P. Nuckolls
  • Publication number: 20110012203
    Abstract: A thin film transistor panel includes; an insulating substrate, a gate line including a gate electrode disposed on the insulating substrate, a gate insulating layer disposed on the gate electrode, a semiconductor layer disposed on the gate insulating layer, the semiconductor layer including a sidewall, a data line including a source electrode disposed on the semiconductor layer, a drain electrode disposed substantially opposite to and spaced apart from the source electrode, a first protective film disposed on the data line, the first protective film including a sidewall, a second protective film disposed on the first protective film and including a sidewall, and a pixel electrode electrically connected to the drain electrode, wherein the sidewall of the second protective film is disposed inside an area where the sidewall of the first protective film is disposed, and the source electrode and the drain electrode cover the sidewall of the semiconductor layer.
    Type: Application
    Filed: October 26, 2009
    Publication date: January 20, 2011
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Chang-Oh JEONG, Dong-Hoon LEE
  • Patent number: 7871881
    Abstract: A method for fabrication of a monolithically integrated SOI substrate capacitor has the steps of: forming an insulating trench, which reaches down to the insulator and surrounds a region of the monocrystalline silicon of a SOI structure, doping the monocrystalline silicon region, forming an insulating, which can be nitride, layer region on a portion of the monocrystalline silicon region, forming a doped silicon layer region on the insulating layer region, and forming an insulating outside sidewall spacer on the monocrystalline silicon region, where the outside sidewall spacer surrounds the doped silicon layer region to provide an isolation between the doped silicon layer region and exposed portions of the monocrystalline silicon region. The monocrystalline silicon region, the insulating layer region, and the doped silicon layer region constitute a lower electrode, a dielectric, and an upper electrode of the capacitor.
    Type: Grant
    Filed: March 30, 2009
    Date of Patent: January 18, 2011
    Assignee: Infineon Technologies AG
    Inventor: Ted Johansson
  • Publication number: 20110008937
    Abstract: A method to provide a transistor or memory cell structure. The method comprises: providing a substrate including a lower Si substrate and an insulating layer on the substrate; providing a first projection extending above the insulating layer, the first projection including an Si material and a Si1-xGex material; and exposing the first projection to preferential oxidation to yield a second projection including a center region comprising Ge/Si1-yGey and a covering region comprising SiO2 and enclosing the center region.
    Type: Application
    Filed: September 17, 2010
    Publication date: January 13, 2011
    Inventors: Been-Yih Jin, Brian S. Doyle, Jack T. Kavalieros, Robert S. Chau
  • Patent number: 7867805
    Abstract: Methods and apparatus for forming a product from ultra thin layers of a base material are disclosed. Some embodiments provide a process that allows one to structure a silicon base material, like the ingot, and to transfer this structure into a respective silicon process step. Some embodiments provide a process that allows one to structure any complex structured layer stacks, where the layers can be applied on top of each other using, e.g., bonding technology.
    Type: Grant
    Filed: May 13, 2008
    Date of Patent: January 11, 2011
    Assignee: International Business Machines Corporation
    Inventors: Rainer Krause, Markus Schmidt
  • Patent number: 7855105
    Abstract: A semiconductor structure is provided that includes a first device region including a first threshold voltage adjusting layer located atop a semiconductor substrate, a gate dielectric located atop the first threshold voltage adjusting layer, and a gate conductor located atop the gate dielectric. The structure further includes a second device region including a gate dielectric located atop the semiconductor substrate, and a gate conductor located atop the gate dielectric; and a third device region including a gate dielectric located atop the semiconductor substrate, a second threshold voltage adjusting layer located atop the gate dielectric, and a gate conductor located atop the second threshold voltage adjusting layer.
    Type: Grant
    Filed: June 18, 2009
    Date of Patent: December 21, 2010
    Assignee: International Business Machines Corporation
    Inventors: Hemanth Jagannathan, Vijay Narayanan, Vamsi K. Paruchuri
  • Patent number: 7833847
    Abstract: There is provided a method of forming a semiconductor device having stacked transistors. When forming a contact hole for connecting the stacked transistors to each other, ohmic layers on the bottom and the sidewall of the common contact hole are separately formed. As a result, the respective ohmic layers are optimally formed to meet requirements or conditions. Accordingly, the contact resistance of the common contact may be minimized so that it is possible to enhance the speed of the semiconductor device.
    Type: Grant
    Filed: July 15, 2009
    Date of Patent: November 16, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyun-Su Kim, Gil-Heyun Choi, Jong-Ho Yun, Sug-Woo Jung, Eun-Ji Jung
  • Patent number: 7829447
    Abstract: Forming structures such as fins in a semiconductor layer according to a pattern formed by oxidizing a sidewall of a layer of oxidizable material. In one embodiment, source/drain pattern structures and a fin pattern structures are patterned in the oxidizable layer. The fin pattern structure is then masked from an oxidation process that grows oxide on the sidewalls of the channel pattern structure and the top surface of the source/drain pattern structures. The remaining oxidizable material of the channel pattern structure is subsequently removed leaving a hole between two portions of the oxide layer. These two portions are used in one embodiment as a mask for patterning the semiconductor layer to form two fins. This patterning also leaves the source/drain structures connected to the fins.
    Type: Grant
    Filed: May 19, 2006
    Date of Patent: November 9, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Leo Mathew, Rode R. Mora, Tab A. Stephens, Tien Ying Luo
  • Patent number: 7829942
    Abstract: A first transfer transistor includes a first diffusion layer connected to a first bit line, and a second diffusion layer connected to a first storage node, the first diffusion layer is provided in a substrate, the second diffusion layer is provided in a bottom part of a recess provided in the substrate, a channel region of the first transfer transistor is offset with respect to the second diffusion layer toward the first storage node, and the offset part functions as a resistor.
    Type: Grant
    Filed: January 17, 2008
    Date of Patent: November 9, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsushi Kawasumi, Tetsu Morooka