Additional Leads Joined To Metallizations On Insulating Substrate, E.g., Pins, Bumps, Wires, Flat Leads (epo) Patents (Class 257/E23.068)
  • Patent number: 8329581
    Abstract: A microelectronic package includes a microelectronic element having faces and contacts, the microelectronic element having an outer perimeter, and a substrate overlying and spaced from a first face of the microelectronic element, whereby an outer region of the substrate extends beyond the outer perimeter of the microelectronic element. The microelectronic package includes a plurality of etched conductive posts exposed at a surface of the substrate and being electrically interconnected with the microelectronic element, whereby at least one of the etched conductive posts is disposed in the outer region of the substrate. The package includes an encapsulating mold material in contact with the microelectronic element and overlying the outer region of the substrate, the encapsulating mold material extending outside of the etched conductive posts for defining an outermost edge of the microelectronic package.
    Type: Grant
    Filed: July 14, 2011
    Date of Patent: December 11, 2012
    Assignee: Tessera, Inc.
    Inventors: Belgacem Haba, Masud Beroz, Teck-Gyu Kang, Yoichi Kubota, Sridhar Krishnan, John B. Riley, III, Ilyas Mohammed
  • Patent number: 8330272
    Abstract: A packaged microelectronic element includes a microelectronic element having a front surface and a plurality of first solid metal posts extending away from the front surface. A substrate has a major surface and a plurality of conductive elements exposed at the major surface and joined to the first solid metal posts. In particular examples, the conductive elements can be bond pads or can be second posts having top surfaces and edge surfaces extending at substantial angles away therefrom. Each first solid metal post includes a base region adjacent the microelectronic element and a tip region remote from the microelectronic element, the base region and tip region having respective concave circumferential surfaces. Each first solid metal post has a horizontal dimension which is a first function of vertical location in the base region and which is a second function of vertical location in the tip region.
    Type: Grant
    Filed: July 8, 2010
    Date of Patent: December 11, 2012
    Assignee: Tessera, Inc.
    Inventor: Belgacem Haba
  • Publication number: 20120306074
    Abstract: A semiconductor chip includes: a substrate; a first bump electrode formed on one face of the substrate; a second bump electrode formed on other face of the substrate; and a conductive bonding material layer formed on a top face of at least one of the first bump electrode and the second bump electrode. The first bump electrode has a convex top face and the second bump electrode has a concave top face.
    Type: Application
    Filed: May 24, 2012
    Publication date: December 6, 2012
    Inventor: Yasuko Kobayashi
  • Publication number: 20120299176
    Abstract: A semiconductor wafer has a first conductive layer formed over its active surface. A first insulating layer is formed over the substrate and first conductive layer. A second conductive layer is formed over the first conductive layer and first insulating layer. A UBM layer is formed around a bump formation area over the second conductive layer. The UBM layer can be two stacked metal layers or three stacked metal layers. The second conductive layer is exposed in the bump formation area. A second insulating layer is formed over the UBM layer and second conductive layer. A portion of the second insulating layer is removed over the bump formation area and a portion of the UBM layer. A bump is formed over the second conductive layer in the bump formation area. The bump contacts the UBM layer to seal a contact interface between the bump and second conductive layer.
    Type: Application
    Filed: December 1, 2009
    Publication date: November 29, 2012
    Applicant: STATS CHIPPAC, LTD.
    Inventors: Yaojian Lin, Jianmin Fang, Kang Chen
  • Patent number: 8319346
    Abstract: Disclosed is a semiconductor structure including a semiconductor substrate including an electronic circuit which is provided in a predetermined region of the semiconductor substrate; a wall which is formed to encircle the predetermined region of the semiconductor substrate; a wiring provided in a region of the semiconductor substrate outside of the predetermined region of the semiconductor substrate; an external connection electrode provided on the wiring; a sealing resin which seals the wiring, the sealing resin being filled in the region of the semiconductor substrate outside of the wall; and a transparent resin to seal the predetermined region of the semiconductor substrate, the transparent resin being filled inside of the wall.
    Type: Grant
    Filed: March 29, 2011
    Date of Patent: November 27, 2012
    Assignee: Teramikros, Inc.
    Inventor: Shinji Wakisaka
  • Publication number: 20120292759
    Abstract: A device includes a semiconductor substrate, a first penetration electrode and a plurality of second penetration electrodes each penetrating the semiconductor substrate, a first terminal and a plurality of second terminals formed on a one side of the substrate, and a third terminal and a plurality of fourth terminals formed on an opposite side of the substrate. Each of the first and third terminals is vertically aligned with and electrically connected to first penetration electrode. Each of the second terminals is vertically aligned with an associated one of the second penetration electrodes and electrically connected to another one of the second penetration terminals that is not vertically aligned with the associated second terminal. Each of fourth terminals is vertically aligned with and electrically connected to an associated one of the second penetration electrodes.
    Type: Application
    Filed: May 1, 2012
    Publication date: November 22, 2012
    Applicant: Elpida Memory, Inc.
    Inventor: Toru ISHIKAWA
  • Publication number: 20120292760
    Abstract: To increase the manufacturing yield of semiconductor devices by improving a joint failure of a bump electrode. In a semiconductor device in which a plurality of boding pads 4 formed on a front surface of a semiconductor chip 3 and a plurality of leads 2 are connected via a plurality of bump electrodes 5, respectively, the upper surface of the leads 2 is formed into a semi-glossy surface having a roughness a maximum height (Ry) of which is in a range greater than 0 ?m and not greater than 20 ?m (0 ?m<maximum height (Ry)?20 ?m), not into a planar surface (maximum height (Ry)=0).
    Type: Application
    Filed: May 15, 2012
    Publication date: November 22, 2012
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventors: Hiroaki NARITA, Ken MASUTA, Toru MAKANAE
  • Publication number: 20120292761
    Abstract: A bonding pad structure positioned on an integrated circuit includes a connecting pad, an insulation layer and a gold bump. The connecting pad is formed on the integrated circuit. The insulation layer is formed on the connecting pad, where the insulation layer has only one opening and a shape of the opening includes at least a bend. The gold bump is formed on the insulation layer, where the gold bump is electrically connected to the connecting pad through the opening of the insulation layer.
    Type: Application
    Filed: August 2, 2012
    Publication date: November 22, 2012
    Inventors: Yu-Ju Yang, Chih-Hung Lu
  • Publication number: 20120286422
    Abstract: A semiconductor device has a protective layer formed over an active surface of a semiconductor wafer. The semiconductor die with pre-applied protective layer are moved from the semiconductor wafer and mounted on a carrier. The semiconductor die and contact pads on the carrier are encapsulated. The carrier is removed. A first insulating layer is formed over the pre-applied protective layer and contact pads. Vias are formed in the first insulating layer and pre-applied protective layer to expose interconnect sites on the semiconductor die. An interconnect structure is formed over the first insulating layer in electrical contact with the interconnect sites on the semiconductor die and contact pads. The interconnect structure has a redistribution layer formed on the first insulating layer, a second insulating layer formed on the redistribution layer, and an under bump metallization layer formed over the second dielectric in electrical contact with the redistribution layer.
    Type: Application
    Filed: July 23, 2012
    Publication date: November 15, 2012
    Applicant: STATS CHIPPAC, LTD.
    Inventors: II Kwon Shim, Yaojian Lin, Seng Guan Chow
  • Publication number: 20120286423
    Abstract: A method of forming a device includes providing a substrate, and forming a solder bump over the substrate. A minor element is introduced to a region adjacent a top surface of the solder bump. A re-flow process is then performed to the solder bump to drive the minor element into the solder bump.
    Type: Application
    Filed: July 23, 2012
    Publication date: November 15, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ming-Da Cheng, Ming-Che Ho, Chung-Shi Liu, Chien Ling Hwang, Cheng-Chung Lin, Hui-Jung Tsai, Zheng-Yi Lim
  • Publication number: 20120286421
    Abstract: An embodiment of the invention provides a chip package which includes: a first substrate; a second substrate disposed on the first substrate, wherein the second substrate has at least one opening penetrating through the second substrate, and the at least one opening defines a plurality of conducting regions electrically insulated from each other in the second substrate; a first insulating layer disposed on a side of the first substrate and filling in the at least one opening of the second substrate; a carrier substrate disposed on the second substrate; a second insulating layer disposed on a surface and a sidewall of the carrier substrate; and a conducting layer disposed on the second insulating layer on the carrier substrate and electrically contacting with one of the conducting regions.
    Type: Application
    Filed: May 9, 2012
    Publication date: November 15, 2012
    Inventor: Chien-Hung LIU
  • Publication number: 20120286418
    Abstract: A semiconductor device has a semiconductor die with an insulation layer formed over an active surface of the semiconductor die. A conductive layer is formed over the first insulating layer electrically connected to the active surface. A plurality of conductive pillars is formed over the conductive layer. A plurality of dummy pillars is formed over the first insulating layer electrically isolated from the conductive layer and conductive pillars. The semiconductor die is mounted to a substrate. A height of the dummy pillars is greater than a height of the conductive pillars to maintain the standoff distance between the semiconductor die and substrate. The dummy pillars can be formed over the substrate. The dummy pillars are disposed at corners of the semiconductor die and a central region of the semiconductor die. A mold underfill material is deposited between the semiconductor die and substrate.
    Type: Application
    Filed: May 13, 2011
    Publication date: November 15, 2012
    Applicant: STATS CHIPPAC, LTD.
    Inventors: KyungHoon Lee, SeongWon Park, KiYoun Jang, JaeHyun Lee
  • Publication number: 20120286416
    Abstract: A microelectronic assembly may include a microelectronic element having a plurality of element contacts at a face thereof, and a compliant dielectric element having a Young's modulus of less than about two gigapascal (GPa) and substrate contacts at a first surface joined to the element contacts. The substrate contacts may be electrically connected with terminals at a second surface of the compliant dielectric element that opposes the first surface, through conductive vias in the compliant dielectric element. A rigid underfill may be between the face of the microelectronic element and the first surface of the compliant dielectric element. The terminals may be usable for bonding the microelectronic assembly to corresponding contacts of a component external to the microelectronic assembly.
    Type: Application
    Filed: May 11, 2011
    Publication date: November 15, 2012
    Applicant: TESSERA RESEARCH LLC
    Inventors: Hiroaki Sato, Yukio Hashimoto, Yoshikuni Nakadaira, Norihito Masuda, Belgacem Haba, Ilyas Mohammed, Philip Damberg
  • Publication number: 20120286424
    Abstract: A die stack including a die having an annular via with a recessed conductive socket and methods of forming the die stack provide a structure for use in a variety of electronic systems. In an embodiment, a die stack includes a conductive pillar on the top of a die inserted into the recessed conductive socket of another die.
    Type: Application
    Filed: July 23, 2012
    Publication date: November 15, 2012
    Inventor: Dave Pratt
  • Publication number: 20120286426
    Abstract: A semiconductor device includes a first structural body having first electrode pads; a second structural body disposed in a face-up type over the first structural body in such a way as to expose the first electrode pads, and having first connection members with at least two protrusions; and a third structural body disposed in a face-down type over the second structural body, and having second connection members with at least two protrusions, on a surface thereof facing the second structural body, wherein some of the protrusions of the second connection members are electrically connected with the exposed first electrode pads, and at least one of remaining protrusions of the second connection members is electrically connected with the first connection members.
    Type: Application
    Filed: March 5, 2012
    Publication date: November 15, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Ki Young KIM
  • Publication number: 20120280387
    Abstract: Three-dimensional stacked substrate arrangements with reliable bonding and inter-substrate protection.
    Type: Application
    Filed: May 14, 2012
    Publication date: November 8, 2012
    Inventors: Shriram Ramanathan, Patrick Morrow, Scott List, Michael Y. Chan, Mauro J. Kobrinsky, Sarah E. Kim, Kevin P. O'Brien, Michael C. Harmes, Thomas Marieb
  • Publication number: 20120280386
    Abstract: A microelectronic assembly includes a substrate having a first surface and a second surface remote from the first surface. A microelectronic element overlies the first surface and first electrically conductive elements are exposed at one of the first surface and the second surface. Some of the first conductive elements are electrically connected to the microelectronic element. Wire bonds have bases joined to the conductive elements and end surfaces remote from the substrate and the bases, each wire bond defining an edge surface extending between the base and the end surface. An encapsulation layer extends from the first surface and fills spaces between the wire bonds such that the wire bonds are separated by the encapsulation layer. Unencapsulated portions of the wire bonds are defined by at least portions of the end surfaces of the wire bonds that are uncovered by the encapsulation layer.
    Type: Application
    Filed: May 2, 2012
    Publication date: November 8, 2012
    Applicant: TESSERA, INC.
    Inventors: Hiroaki Sato, Teck-Gyu Kang, Belgacem Haba, Philip R. Osborn, Wei-Shun Wang, Ellis Chau, Ilyas Mohammed, Norihito Masuda, Kazuo Sakuma, Kiyoaki Hashimoto, Kurosawa Inetaro, Tomoyuki Kikuchi
  • Publication number: 20120273937
    Abstract: A semiconductor device has a substrate with a plurality of contact pads. A first insulation layer is formed over the substrate and contact pads. A portion of the first insulating layer is removed to form a toroid-shaped SRO over the contact pads while retaining a central portion of the first insulating layer over the contact pads. The central portion of the first insulating layer can extend above a surface of the first insulating layer outside the first conductive layer. A first conductive layer is formed over the central portion of the first insulating layer and through the SRO in the first insulating layer over the contact pads. The first conductive layer may extend above a surface of the first insulating layer outside the second conductive layer. A semiconductor die is mounted to the substrate with the bumps electrically connected to the first conductive layer.
    Type: Application
    Filed: April 30, 2011
    Publication date: November 1, 2012
    Applicant: STATS CHIPPAC, LTD.
    Inventor: DaeSik Choi
  • Publication number: 20120273936
    Abstract: A method for forming a micro bump includes forming a first nano-particle layer on a substrate and forming a second nano-particle layer on the first nano-particle layer. The first and second nano-particle layers include a plurality of first nano particles and a plurality of second nano particles, respectively. The method further includes irradiating a laser beam onto the second nano-particle layer, where the laser beam penetrates through the second nano-particle layer and is at least partially absorbed by at least some of the first nano particles to generate heat. The first nano particles and the second nano particles have different absorption rates with respect to the laser beam.
    Type: Application
    Filed: April 29, 2011
    Publication date: November 1, 2012
    Inventors: Ruoh-Huey Uang, Yi-Ting Cheng
  • Publication number: 20120273938
    Abstract: A semiconductor device has a semiconductor die with a first conductive layer formed over an active surface of the semiconductor die. An insulation layer is formed over the active surface of the semiconductor die. A second conductive layer is conformally applied over the insulating layer and first conductive layer. Conductive pillars are formed over the first conductive layer. Conductive rings are formed around a perimeter of the conductive pillars. A conductive material is deposited over the surface of the conductive pillars within the conductive rings. A substrate has a third conductive layer formed over a surface of the substrate. The semiconductor die is mounted to a substrate with the third conductive layer electrically connected to the conductive material within the conductive rings. The conductive rings inhibit outward flow of the conductive material from under the conductive pillars to prevent electrical bridging between adjacent conductive pillars.
    Type: Application
    Filed: April 30, 2011
    Publication date: November 1, 2012
    Applicant: STATS CHIPPAC, LTD.
    Inventors: DaeSik Choi, Sang Mi Park
  • Publication number: 20120273942
    Abstract: When a flip-chip mounting component with an Al/Au bonding structure is exposed to high temperature, voids may be caused in the Al electrode. The generation of voids causes failed connection or failed bonding between the Al electrode and the Au bump, thereby significantly degrading the connection reliability and bonding reliability in the flip-chip mounting structure. An object of the preset invention is to provide a flip-chip mounting structure that has high connection reliability and bonding reliability without being degraded even in high temperature. In a flip-chip mounting structure for wirelessly connecting an IC chip 21 having an Al electrode 22 and a substrate 41 having an Au electrode 43, a bump 52 of Al or Al alloy is formed on the Al electrode 22 of the IC chip 21 and, via the bump 52, the Al electrode 22 of the IC chip 21 and the Au electrode 43 of the substrate 41 are bonded to each other.
    Type: Application
    Filed: January 19, 2011
    Publication date: November 1, 2012
    Applicant: Japan Aviation Electronics Industry ,Limited
    Inventor: Daisuke Uchida
  • Publication number: 20120273935
    Abstract: A semiconductor device and a method of manufacturing a semiconductor device are disclosed. An embodiment comprises forming a bump on a die, the bump having a solder top, melting the solder top by pressing the solder top directly on a contact pad of a support substrate, and forming a contact between the die and the support substrate.
    Type: Application
    Filed: April 29, 2011
    Publication date: November 1, 2012
    Inventors: Stefan Martens, Tze Yang Hin, Kian Pin Queck, Kathleen Ong, Chin Wei Ronnie Tan, Beng Keh See, Ulrich Krumbein, Horst Theuss
  • Publication number: 20120273934
    Abstract: The embodiments of bump-on-trace (BOT) structures and their layout on a die described reduce stresses on the dielectric layer on the metal pad and on the metal traces of the BOT structures. By orienting the axes of the metal bumps away from being parallel to the metal traces, the stresses can be reduced, which can reduce the risk of delamination of the metal traces from the substrate and the dielectric layer from the metal pad. Further, the stresses of the dielectric layer on the metal pad and on the metal traces may also be reduced by orienting the axes of the metal traces toward the center of the die. As a result, the yield can be increased.
    Type: Application
    Filed: April 27, 2011
    Publication date: November 1, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yuh Chern SHIEH, Han-Ping PU, Yu-Feng CHEN, Tin-Hao KUO
  • Publication number: 20120273940
    Abstract: A semiconductor apparatus includes a first chip comprising a first bonding pad and a dielectric layer exposes a portion of the first bonding pad; a first bonding layer covering entirely or partially the first front side of the first chip, a second chip comprising a second bonding pad and a through-silicon via, and a conductive projection formed over the second bonding pad. The dielectric layer is formed on of the first chip, a second back side of the second chip is bonded to the first front side of the first chip by the medium of the first bonding layer, and the second bonding pad formed on a second front side of the second chip is coupled to the first bonding pad by the through-silicon via.
    Type: Application
    Filed: December 23, 2011
    Publication date: November 1, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Seung Hee JO
  • Publication number: 20120273944
    Abstract: A package includes a body that encapsulates a semiconductor die, the body having a first pair of opposing lateral sides, a second pair of opposing lateral sides, a top, and a bottom. The bottom has a primary surface and a plurality of protrusions that extend outward from the primary surface. When the package is mounted to a printed circuit board (PCB) the protrusions contact the PCB and the primary surface is disposed a first distance away from the PCB. The package further includes a plurality of leads that extend outward from the first pair of opposing lateral sides.
    Type: Application
    Filed: June 25, 2012
    Publication date: November 1, 2012
    Applicant: POWER INTEGRATIONS, INC.
    Inventors: Balu Balakrishnan, Brad L. Hawthorne, Stefan Bäurle
  • Publication number: 20120273943
    Abstract: A flip chip interconnect has a tapering interconnect structure, and the area of contact of the interconnect structure with the site on the substrate metallization is less than the area of contact of the interconnect structure with the die pad. A solder mask has an opening over the interconnect site, and the solder mask makes contact with the interconnect structure at the margin of the opening. The flip chip interconnect is provided with an underfill. During the underfill process, the contact or near proximity of the solder mask with the interconnect structure interferes with flow of the underfill material toward the substrate adjacent the site, resulting in formation of a void left unfilled by the underfill, adjacent the contact of the interconnect structure with the site on the substrate metallization. The void can help provide relief from strain induced by changes in temperature of the system.
    Type: Application
    Filed: June 21, 2012
    Publication date: November 1, 2012
    Applicant: STATS CHIPPAC, LTD.
    Inventors: Rajendra D. Pendse, KyungOe Kim, TaeWoo Kang
  • Publication number: 20120267780
    Abstract: An embodiment of the invention provides a chip package which includes: a first chip; a second chip disposed on the first chip, wherein a side surface of the second chip is a chemically-etched surface; and a bonding bulk disposed between the first chip and the second chip such that the first chip and the second chip are bonded with each other.
    Type: Application
    Filed: April 20, 2012
    Publication date: October 25, 2012
    Inventors: Bing-Siang CHEN, Chien-Hui CHEN, Shu-Ming CHANG, Tsang-Yu LIU, Yen-Shih HO
  • Publication number: 20120267778
    Abstract: A circuit board includes: an electrode portion which has a copper layer, a copper oxide layer formed thereon, and a removal portion formed by partially removing the copper oxide layer so as to partially expose the copper layer from the copper oxide layer; and a solder bump for flip chip mounting formed on the copper layer exposed by the removal portion.
    Type: Application
    Filed: January 24, 2012
    Publication date: October 25, 2012
    Applicant: SONY CORPORATION
    Inventor: Hiroshi Asami
  • Publication number: 20120267777
    Abstract: A microelectronic assembly can include a substrate having first and second surfaces, at least two logic chips overlying the first surface, and a memory chip having a front surface with contacts thereon, the front surface of the memory chip confronting a rear surface of each logic chip. The substrate can have conductive structure thereon and terminals exposed at the second surface for connection with a component. Signal contacts of each logic chip can be directly electrically connected to signal contacts of the other logic chips through the conductive structure of the substrate for transfer of signals between the logic chips. The logic chips can be adapted to simultaneously execute a set of instructions of a given thread of a process. The contacts of the memory chip can be directly electrically connected to the signal contacts of at least one of the logic chips through the conductive structure of the substrate.
    Type: Application
    Filed: April 22, 2011
    Publication date: October 25, 2012
    Applicant: TESSERA RESEARCH LLC
    Inventors: Belgacem Haba, Ilyas Mohammed, Piyush Savalia
  • Publication number: 20120267779
    Abstract: The invention provides a semiconductor package. The semiconductor package includes a semiconductor die having a central area and a peripheral area surrounding the central area. A first conductive bump is disposed on the semiconductor die in the central area. A second conductive bump is disposed on the semiconductor die in the peripheral area. An area ratio of the first conductive bump to the second conductive bump from a top view is larger than 1, and less than or equal to 3.
    Type: Application
    Filed: March 26, 2012
    Publication date: October 25, 2012
    Applicant: MEDIATEK INC.
    Inventors: Tzu-Hung LIN, Wen-Sung HSU, Tai-Yu CHEN
  • Publication number: 20120261817
    Abstract: A semiconductor wafer contains a plurality of semiconductor die. The wafer has contact pads formed over its surface. A passivation layer is formed over the wafer. A stress buffer layer is formed over the passivation layer. The stress buffer layer is patterned to expose the contact pads. A metal layer is deposited over the stress buffer layer. The metal layer is a common voltage bus for the semiconductor device in electrical contact with the contact pads. An adhesion layer, barrier layer, and seed layer is formed over the wafer in electrical contact with the contact pads. The metal layer is mounted to the seed layer. Solder bumps or other interconnect structures are formed over the metal layer. A second passivation layer is formed over the metal layer. In an alternate embodiment, a wirebondable layer can be deposited over the metal layer and wirebonds connected to the metal layer.
    Type: Application
    Filed: June 28, 2012
    Publication date: October 18, 2012
    Applicant: STATS ChipPAC, Ltd.
    Inventors: Byung Tai Do, Stephen A. Murphy, Yaojian Lin, Heap Hoe Kuan, Pandi Chelvam Marimuthu, Hin Hwa Goh
  • Publication number: 20120261813
    Abstract: Disclosed is reinforced via farm interconnect structure for an integrated circuit chip that minimizes delamination caused by tensile stresses applied to the chip through lead-free C4 connections during thermal cycling. The reinforced via farm interconnect structure includes a plurality of vias electrically connecting metal wires within different wiring levels and, for reinforcement, further incorporates dielectric columns into the lower metal wire so that the areas around the metal-to-metal interface between the vias and the lower metal wire contain a relatively strong dielectric-to-dielectric interface. The reinforced via farm interconnect structure can be located in an area of the chip at risk for delamination and, for added strength, can have a reduced via density relative to conventional via farm interconnect structures located elsewhere on the chip.
    Type: Application
    Filed: April 15, 2011
    Publication date: October 18, 2012
    Applicant: International Business Machines Corporation
    Inventors: Felix P. Anderson, Timothy H. Daubenspeck, Jeffrey P. Gambino, Donald R. Letourneau, Thomas L. McDevitt
  • Publication number: 20120261816
    Abstract: A device package substrate includes: a substrate having a cavity formed on a top surface thereof, the cavity having a chip mounting region; a first interconnection layer formed to extend to the inside of the cavity; a second interconnection layer formed to be spaced apart from the first interconnection layer; a chip positioned in the chip mounting region so as to be connected to the first and second interconnection layers; an insulating layer formed to cover the first and second interconnection layers and the chip and having a contact hole exposing a part of the second interconnection layer; and a bump pad formed in the contact hole so as to be connected to external elements.
    Type: Application
    Filed: June 25, 2012
    Publication date: October 18, 2012
    Inventors: Seung Wook Park, Hyung Jin Jeon, Young Do Kweon
  • Publication number: 20120261815
    Abstract: An electronic component includes: a semiconductor substrate having a first surface and a second surface opposing to the first surface; a trans-substrate conductive plug that penetrates the semiconductor substrate from the first surface to the second surface; an electronic element provided in the vicinity of the first surface of the semiconductor; and a sealing member that seals the electronic element between the sealing member and the first surface, wherein the electronic element is electrically connected to the trans-substrate conductive plug.
    Type: Application
    Filed: June 22, 2012
    Publication date: October 18, 2012
    Applicant: SEIKO EPSON CORPORATION
    Inventors: Haruki ITO, Nobuaki HASHIMOTO
  • Publication number: 20120261662
    Abstract: An integrated circuit system comprising a first integrated and at least one of a second integrated circuit, interposer or printed circuit board. The first integrated circuit further comprising a wiring stack, bond pads electrically connected to the wiring stack, and bump balls formed on the bond pads. First portions of the wiring stack and the bond pads form a functional circuit, and second portions of the wiring stack and the bond pads form a test circuit. A portion of the bump balls comprising dummy bump balls. The dummy bump balls electrically connected to the second portions of the wiring stack and the bond pads. The at least one of the second integrated circuit, interposer orprinted circuit board forming a portion of the test circuit.
    Type: Application
    Filed: April 13, 2011
    Publication date: October 18, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shih-Wei LIANG, Yu-Wen LIU, Hsien-Wei CHEN
  • Publication number: 20120261814
    Abstract: An electronic device comprises a plurality of integrated circuit dies mounted on different areas of a carrier. The carrier is folded into a plurality of layers, each layer comprising one of the different areas of the carrier and one of the integrated circuit dies, such that the plurality of integrated circuit dies form a stack. Adjacent surfaces of neighbouring layers are fixed together, for example by an adhesive layer, and the folded carrier and the integrated circuit dies are embedded in a moulded material.
    Type: Application
    Filed: December 8, 2010
    Publication date: October 18, 2012
    Applicant: ST-ERICSSON SA
    Inventor: Nedialko Slavov
  • Publication number: 20120261818
    Abstract: A semiconductor device has a semiconductor die with a plurality of bumps formed over a surface of the first semiconductor die. A penetrable adhesive layer is formed over a temporary carrier. The adhesive layer can include a plurality of slots. The semiconductor die is mounted to the carrier by embedding the bumps into the penetrable adhesive layer. The semiconductor die and interconnect structure can be separated by a gap. An encapsulant is deposited over the first semiconductor die. The bumps embedded into the penetrable adhesive layer reduce shifting of the first semiconductor die while depositing the encapsulant. The carrier is removed. An interconnect structure is formed over the semiconductor die. The interconnect structure is electrically connected to the bumps. A thermally conductive bump is formed over the semiconductor die, and a heat sink is mounted to the interconnect structure and thermally connected to the thermally conductive bump.
    Type: Application
    Filed: June 28, 2012
    Publication date: October 18, 2012
    Applicant: STATS CHIPPAC, LTD.
    Inventors: Reza A. Pagaila, Yaojian Lin, Jun Mo Koo
  • Publication number: 20120261749
    Abstract: The semiconductor device according to one embodiment of the present invention includes: a semiconductor substrate; and a first area and a second area which are respectively provided on the semiconductor substrate. The first area includes: a first metal wiring formed in a first wiring layer above the semiconductor substrate and having a certain first width; a second metal wiring formed in a second wiring layer located in an upper layer of the first wiring layer and having the first width; and a first contact connecting the first metal wiring and the second metal wiring and having a second width equal to or less than the first width. The second area includes a third metal wiring having a film thickness from the first wiring layer to the second wiring layer and having a certain third width.
    Type: Application
    Filed: September 19, 2011
    Publication date: October 18, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Masaki YAMADA
  • Patent number: 8288871
    Abstract: The embodiments of bump-on-trace (BOT) structures and their layout on a die described reduce stresses on the dielectric layer on the metal pad and on the metal traces of the BOT structures. By orienting the axes of the metal bumps away from being parallel to the metal traces, the stresses can be reduced, which can reduce the risk of delamination of the metal traces from the substrate and the dielectric layer from the metal pad. Further, the stresses of the dielectric layer on the metal pad and on the metal traces may also be reduced by orienting the axes of the metal traces toward the center of the die. As a result, the yield can be increased.
    Type: Grant
    Filed: April 27, 2011
    Date of Patent: October 16, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yuh Chern Shieh, Han-Ping Pu, Yu-Feng Chen, Tin-Hao Kuo
  • Patent number: 8288850
    Abstract: A method for packaging micromachined devices fabricated by MEMS and semiconductor process is disclosed in this invention. The method employed etching technique to etch a trench surrounding the micromachined components on each chip of the first wafer down to the bottom interconnection metal layer. The said trench can accommodate the solder of flip-chip packaging. On each chip of the second wafer, or called as the second chip, a surrounding copper pillar wall corresponding to the trench on the first chip is deposited. By wafer-level packaging, the trench on the first chip is aligned to the pillar wall, and then bonded together with elevated temperature. The face-to-face chamber formed between two chips can allow the movement of the micromachined structures. Further, the signal or power connections between two chips can be established by providing several discrete pillar bumps.
    Type: Grant
    Filed: July 9, 2010
    Date of Patent: October 16, 2012
    Assignee: Jung-Tang Huang
    Inventors: Jung-Tang Huang, Ming-Jhe Lin, Hou-Jun Hsu
  • Publication number: 20120256310
    Abstract: A semiconductor device includes a multi-level wiring structure that includes a first wring layer, a plurality of first patterns, and a first mark. The first wring layer is disposed at a first wiring level of the multi-level wiring structure. The plurality of first patterns is disposed over the first wring layer. The plurality of first patterns is disposed at a second wiring level of the multi-level wiring structure. The second wiring level is above the first wiring level. The plurality of first patterns is disposed over the first wring layer. The plurality of first patterns is disposed at a second wiring level of the multi-level wiring structure. The second wiring level is above the first wiring level. The first mark is disposed over the first wring layer. The first mark is disposed at a third wiring level. The third wiring level is above the second wiring level.
    Type: Application
    Filed: April 5, 2012
    Publication date: October 11, 2012
    Applicant: Elpida Memory, Inc.
    Inventor: Akira IDE
  • Publication number: 20120256311
    Abstract: A bump electrode, a dummy bump, and a heat-resistant polymer film, whose upper-surface heights are uniformed, are formed on each of a first silicon substrate and a second silicon substrate, and then, the first silicon substrate and the second silicon substrate are bonded to each other so that the bump electrodes formed on the respective substrates are electrically connected to each other. At this time, the dummy bump is arranged so as to be bonded to the heat-resistant polymer film on the silicon substrate opposed thereto, so that a semiconductor device having both of good electrical connection between the bump electrodes and bump protection performance obtained by a polymer film with high heat resistance and without voids can be achieved.
    Type: Application
    Filed: April 10, 2012
    Publication date: October 11, 2012
    Inventors: Kenichi TAKEDA, Mayu AOKI, Kazuyuki HOZAWA
  • Patent number: 8283790
    Abstract: An electronic device includes a carrier, a surface mounting device, and solders. The carrier has a plurality of bonding pads, and at least one of the bonding pads has a notch, such that the bonding pad has a necking portion adjacent to the notch. The surface mounting device is disposed on the carrier. Besides, the surface mounting device has a plurality of leads, and each of the leads is connected to the necking portion of one of the bonding pads, respectively. The notch of each of the bonding pads is located under one of the leads. The solders connect the bonding pads and the leads.
    Type: Grant
    Filed: January 21, 2010
    Date of Patent: October 9, 2012
    Assignee: Everlight Electronics Co., Ltd.
    Inventor: Wen-Chieh Tsou
  • Publication number: 20120248599
    Abstract: In one general aspect, an apparatus can include a semiconductor substrate including at least one semiconductor device, and a metal layer disposed on the semiconductor substrate. The apparatus can include a nonconductive layer defining an opening and having a cross-sectional portion of the nonconductive layer defining a protrusion disposed over a recess in the metal layer, and can include a solder bump having a portion disposed between the metal layer and the protrusion defined by the nonconductive layer.
    Type: Application
    Filed: March 21, 2012
    Publication date: October 4, 2012
    Inventor: Matthew A. Ring
  • Publication number: 20120248600
    Abstract: Disclosed herein is a device including a substrate and first and second chips stacked on the substrate. The first and second chips have penetration electrodes that are penetrating therethrough. Power terminals of the first and second chips are connected to each other and arranged in a first arrangement pitch. Signal terminals of the first and second chips are connected to each other and arranged in a second arrangement pitch that is smaller than the first arrangement pitch.
    Type: Application
    Filed: March 29, 2012
    Publication date: October 4, 2012
    Applicant: ELPIDA MEMORY, INC.
    Inventors: Yasuyuki SHIGEZANE, Hideyuki YOKOU, Akira IDE
  • Publication number: 20120248598
    Abstract: An apparatus includes a tool head configured for bonding to establish 100 or more electrical and mechanical connections between a silicon chip having a thickness of about 50 microns (?m) or smaller and a substrate, wherein 100 or more solder bumps set on a plurality of contacts on the silicon chip or a plurality of contacts on the substrate are melted by heating between the plurality of contacts of the silicon chip and the substrate, and wherein the melted solder bumps are solidified by cooling using forced convection of air flowing from around the silicon chip. The tool head includes a pyrolytic graphite sheet configured to be used in direct contact with the silicon chip, and having a thickness between about 75 ?m and 125 ?m.
    Type: Application
    Filed: March 19, 2012
    Publication date: October 4, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Kuniaki Sueoka
  • Publication number: 20120248605
    Abstract: A semiconductor device includes an electrode (electrode pad), an insulation film (for example, protective resin film) formed over the electrode and having an opening for exposing the electrode. The semiconductor device further includes an under bump metal (UBM layer) formed over the insulation film and connected by way of the opening 5a to the electrode, and a solder ball formed over the under bump metal. In the under bump metal, a thickness A for the first portion situated in the opening above the electrode and the thickness B for the second portion situated in the under bump metal at the periphery of the opening over the insulation film are in a condition: A/B?1.5, and the opening and the solder ball are in one to one correspondence.
    Type: Application
    Filed: February 24, 2012
    Publication date: October 4, 2012
    Inventor: Toshihide YAMAGUCHI
  • Publication number: 20120248601
    Abstract: A semiconductor device has a semiconductor wafer with a plurality of semiconductor die including a plurality of contact pads. An insulating layer is formed over the semiconductor wafer and contact pads. An under bump metallization (UBM) is formed over and electrically connected to the plurality of contact pads. A mask is disposed over the semiconductor wafer with a plurality of openings aligned over the plurality of contact pads. A conductive bump material is deposited within the plurality of openings in the mask and onto the UBM. The mask is removed. The conductive bump material is reflowed to form a plurality of bumps with a height less than a width. The plurality of semiconductor die is singulated. A singulated semiconductor die is mounted to a substrate with bumps oriented toward the substrate. Encapsulant is deposited over the substrate and around the singulated semiconductor die.
    Type: Application
    Filed: March 29, 2012
    Publication date: October 4, 2012
    Applicant: GREAT WALL SEMICONDUCTOR CORPORATION
    Inventors: Samuel J. Anderson, Gary Dashney, David N. Okada
  • Publication number: 20120248603
    Abstract: To provide a technique capable of positioning of a semiconductor chip and a mounting substrate with high precision by improving visibility of an alignment mark. In a semiconductor chip constituting an LCD driver, a mark is formed in an alignment mark formation region over a semiconductor substrate. The mark is formed in the same layer as that of an uppermost layer wiring (third layer wiring) in an integrated circuit formation region. Then, in the lower layer of the mark and a background region surrounding the mark, patterns are formed. At this time, the pattern P1a is formed in the same layer as that of a second layer wiring and the pattern P1b is formed in the same layer as that of a first layer wiring. Further, the pattern P2 is formed in the same layer as that of a gate electrode, and the pattern P3 is formed in the same layer as that of an element isolation region.
    Type: Application
    Filed: June 15, 2012
    Publication date: October 4, 2012
    Inventors: MASAMI KOKETSU, Toshiaki Sawada
  • Publication number: 20120241937
    Abstract: Proposed is a package structure having a micro-electromechanical (MEMS) element, including a chip having a plurality of electrical connecting pads and a MEMS element formed thereon; a lid disposed on the chip for covering the MEMS element; a stud bump disposed on each of the electrical connecting pads; an encapsulant formed on the chip with part of the stud bumps being exposed from the encapsulant; and a metal conductive layer formed on the encapsulant and connected to the stud bumps. The invention is characterized by completing the packaging process on the wafer directly to enable thinner and cheaper package structures to be fabricated within less time. This invention further provides a method for fabricating the package structure as described above.
    Type: Application
    Filed: June 8, 2012
    Publication date: September 27, 2012
    Applicant: SILICONWARE PRECISION INDUSTRIES CO., LTD.
    Inventors: Chang-Yueh Chan, Chien-Ping Huang, Chun-Chi Ke, Chun-An Huang, Chih-Ming Huang