Comprising Internal Isolation Within Devices Or Components (epo) Patents (Class 257/E29.018)
  • Patent number: 8999744
    Abstract: Provided are an avalanche photodiode and a method of fabricating the same. The method of fabricating the avalanche photodiode includes sequentially forming a compound semiconductor absorption layer, a compound semiconductor grading layer, a charge sheet layer, a compound semiconductor amplification layer, a selective wet etch layer, and a p-type conductive layer on an n-type substrate through a metal organic chemical vapor deposition process.
    Type: Grant
    Filed: March 20, 2014
    Date of Patent: April 7, 2015
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Mi-Ran Park, O-Kyun Kwon
  • Patent number: 8981522
    Abstract: A nonvolatile semiconductor storage device includes a substrate; an isolation film extending in a first direction and dividing the substrate into element regions; a cell string including memory cells in the element regions; a cell unit including the cell string and a select transistor on first directional ends of the cell string; diffusion layers formed in a portion of the element region first directionally beside the select gate electrode, the diffusion layers being adjacent to one another in a second direction intersecting with the first direction; and contacts extending through an interlayer insulating film and contacting the diffusion layers. An upper surface of the isolation film located between the diffusion layers is lower than an upper surface of the substrate. A laminate of silicon oxide film and a silicon nitride film are located above the upper surface of the isolation film and below the upper surface of the substrate.
    Type: Grant
    Filed: August 27, 2013
    Date of Patent: March 17, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kenichi Fujii, Akira Yotsumoto, Takaya Yamanaka, Fumie Kikushima
  • Patent number: 8963280
    Abstract: Semiconductor devices with reduced substrate defects and methods of manufacture are disclosed. The method includes forming a dielectric material on a substrate. The method further includes forming a shallow trench structure and deep trench structure within the dielectric material. The method further includes forming a material within the shallow trench structure and deep trench structure. The method further includes forming active areas of the material separated by shallow trench isolation structures. The shallow trench isolation structures are formed by: removing the material from within the deep trench structure and portions of the shallow trench structure to form trenches; and depositing an insulator material within the trenches.
    Type: Grant
    Filed: September 11, 2013
    Date of Patent: February 24, 2015
    Assignee: International Business Machines Corporation
    Inventor: Effendi Leobandung
  • Patent number: 8952484
    Abstract: A non-volatile memory and a manufacturing method thereof are provided. The non-volatile memory includes a substrate, a gate structure, a first doped region, a second doped region and a pair of isolation structures. The gate structure is disposed on the substrate. The gate structure includes a charge storage structure, a gate and spacers. The charge storage structure is disposed on the substrate. The gate is disposed on the charge storage structure. The spacers are disposed on the sidewalls of the gate and the charge storage structure. The first doped region and the second doped region are respectively disposed in the substrate at two sides of the charge storage structure and at least located under the spacers. The isolation structures are respectively disposed in the substrate at two sides of the gate structure.
    Type: Grant
    Filed: November 18, 2010
    Date of Patent: February 10, 2015
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Guan-Wei Wu, I-Chen Yang, Yao-Wen Chang, Tao-Cheng Lu
  • Patent number: 8921978
    Abstract: An isolation structure in a semiconductor device absorbs electronic noise and prevents substrate leakage currents from reaching other devices and signals. The isolation structure provides a duality of deep N-well (“DNW”) isolation structures surrounding an RF device or other source of electronic noise. The DNW isolation structures extend into the substrate at a depth of at least about 2.5 ?m and may be coupled to VDD. P+ guard rings are also provided in some embodiments and are provided inside, outside or between the dual DNW isolation structures.
    Type: Grant
    Filed: January 10, 2012
    Date of Patent: December 30, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chi-Feng Huang, Chia-Chung Chen, Victor Chiang Liang, Hsiao-Chun Lee
  • Patent number: 8901716
    Abstract: An embodiment of the present invention is a technique to provide a dielectric film material with controllable coefficient of thermal expansion (CTE). A first compound containing a first liquid crystalline component is formed. The first compound is cast into a first film. The first film is oriented in an magnetic or electromagnetic field in a first direction. The first film is cured at a first temperature.
    Type: Grant
    Filed: May 5, 2010
    Date of Patent: December 2, 2014
    Assignee: Intel Corporation
    Inventor: James C. Matayabas, Jr.
  • Patent number: 8896093
    Abstract: A vertical TVS (VTVS) circuit includes a semiconductor substrate for supporting the VTVS device thereon having a heavily doped layer extending to the bottom of substrate. Deep trenches are provided for isolation between multi-channel VTVS. Trench gates are also provided for increasing the capacitance of VTVS with integrated EMI filter.
    Type: Grant
    Filed: December 19, 2012
    Date of Patent: November 25, 2014
    Assignee: Alpha and Omega Semiconductor Incorporated
    Inventors: Shekar Mallikararjunaswamy, Madhur Bobde
  • Patent number: 8842400
    Abstract: A semiconductor device for electrostatic discharge (ESD) protection includes a silicon controlled rectifier (SCR) including a semiconductor substrate, a first well formed in the substrate, a second well formed in the substrate, a first p-type region formed in the first well to serve as an anode, and a first n-type region partially formed in the second well to serve as a cathode, a p-type metal-oxide-semiconductor (PMOS) transistor formed in the first well including a gate, a first diffused region and a second diffused region separated apart from the first diffused region, a second n-type region formed in the first well electrically connected to the first diffused region of the PMOS transistor, and a second p-type region formed in the substrate electrically connected to the second diffused region of the PMOS transistor.
    Type: Grant
    Filed: December 6, 2012
    Date of Patent: September 23, 2014
    Assignee: Industrial Technology Research Institute
    Inventors: Ming-Dou Ker, Shih-Hung Chen, Kun-Hsien Lin
  • Patent number: 8836074
    Abstract: A semiconductor memory device includes linear patterns disposed between isolation trenches extending in a first direction in a semiconductor device and having a first crystal direction the same as the semiconductor substrate. A bridge pattern connects at least two adjacent linear patterns and includes a semiconductor material having a second crystal direction different from the first crystal direction. A first isolation layer pattern is disposed in at least one of the isolation trenches in a field region of the semiconductor substrate. Memory cells are disposed on at least one of the linear patterns.
    Type: Grant
    Filed: December 27, 2012
    Date of Patent: September 16, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Byung-Kwan You, Seung-Woo Paek, Chung-Il Hyun, Jung-Dal Choi
  • Patent number: 8710546
    Abstract: Provided are an avalanche photodiode and a method of fabricating the same. The method of fabricating the avalanche photodiode includes sequentially forming a compound semiconductor absorption layer, a compound semiconductor grading layer, a charge sheet layer, a compound semiconductor amplification layer, a selective wet etch layer, and a p-type conductive layer on an n-type substrate through a metal organic chemical vapor deposition process.
    Type: Grant
    Filed: July 27, 2011
    Date of Patent: April 29, 2014
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Mi-Ran Park, O-Kyun Kwon
  • Patent number: 8692299
    Abstract: An integrated circuit device and a process for making the integrated circuit device. The integrated circuit device including a substrate having a trench formed therein, a first layer of isolation material occupying the trench, a second layer of isolation material formed over the first layer of isolation material, an epitaxially-grown silicon layer on the substrate and horizontally adjacent the second layer of isolation material, and a gate structure formed on the epitaxially-grown silicon, the gate structure defining a channel.
    Type: Grant
    Filed: August 24, 2012
    Date of Patent: April 8, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Min Hao Hong, You-Hua Chou, Chih-Tsung Lee, Shiu-Ko JangJian, Miao-Cheng Liao, Hsiang Hsiang Ko, Chen-Ming Huang
  • Patent number: 8618608
    Abstract: A lateral silicon controlled rectifier structure includes a P-type substrate; an N-well region in the P-type substrate; a first P+ doped region in the N-well region and being connected to an anode; a P-well region in the P-type substrate and bordering upon the N-well region; a first N+ doped region formed in the P-well region and separated from the first P+ doped region by a spacing distance, the first N+ doped region being connected to a cathode; and a gate structure overlying a portion of the P-type substrate between the first P+ doped region and the first N+ doped region.
    Type: Grant
    Filed: December 31, 2008
    Date of Patent: December 31, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Ta-Cheng Lin, Te-Chang Wu
  • Patent number: 8604513
    Abstract: A semiconductor device includes: a SOI substrate; a semiconductor element having first and second impurity layers disposed in an active layer of the SOI substrate, the second impurity layer surrounding the first impurity layer; and multiple first and second conductive type regions disposed in a part of the active layer adjacent to an embedded insulation film of the SOI substrate. The first and second conductive type regions are alternately arranged. The first and second conductive type regions have a layout, which corresponds to the semiconductor element.
    Type: Grant
    Filed: September 23, 2010
    Date of Patent: December 10, 2013
    Assignee: DENSO CORPORATION
    Inventors: Youichi Ashida, Norihito Tokura, Shigeki Takahashi, Yoshiaki Nakayama, Satoshi Shiraki, Kouji Senda
  • Patent number: 8575676
    Abstract: A semiconductor storage device according to the present invention includes: a semiconductor substrate; an embedded insulator embedded in a trench formed in the semiconductor substrate and having an upper portion protruding above a top surface of the semiconductor substrate; a first insulating film formed on the top surface of the semiconductor substrate; a floating gate formed on the first insulating film at a side of the embedded insulator, having a side portion arching out above the embedded insulator, and having a side surface made of a flat surface and a curved surface continuing below the flat surface; a second insulating film contacting an upper surface, the flat surface and the curved surface of the floating gate; and a control gate opposing the upper surface, the flat surface and the curved surface of the floating gate across the second insulating film.
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: November 5, 2013
    Assignee: Rohm Co., Ltd.
    Inventor: Yuichi Nakao
  • Patent number: 8546907
    Abstract: An integrated circuit structure includes a semiconductor substrate of a first conductivity type; a depletion region in the semiconductor substrate; and a deep well region substantially enclosed by the depletion region. The deep well region is of a second conductivity type opposite the first conductivity type, and includes a first portion directly over the deep well region and a second portion directly under the deep well region. A transmission line is directly over the depletion region.
    Type: Grant
    Filed: February 1, 2010
    Date of Patent: October 1, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chewn-Pu Jou, Ho-Hsiang Chen
  • Publication number: 20130234147
    Abstract: An embodiment is a structure comprising a substrate, a high energy bandgap material, and a high carrier mobility material. The substrate comprises a first isolation region and a second isolation region. Each of first and second isolation regions extends below a first surface of the substrate between the first and second isolation regions. The high energy bandgap material is over the first surface of the substrate and is disposed between the first and second isolation regions. The high carrier mobility material is over the high energy bandgap material. The high carrier mobility material extends higher than respective top surfaces of the first and second isolation regions to form a fin.
    Type: Application
    Filed: March 8, 2012
    Publication date: September 12, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Cheng-Hsien Wu, Chih-Hsin Ko, Clement Hsingjen Wann
  • Patent number: 8531007
    Abstract: A semiconductor device is disclosed which includes active section 100, edge termination section 110 having a voltage blocking structure and disposed around active section 100, and separation section 120 having a device separation structure and disposed around edge termination section 110. A surface device structure is formed on the first major surface of active section 100, trench 23 is formed in separation section 120 from the second major surface side, and p+-type separation region 24 is formed on the side wall of trench 23 such that p+-type separation region 24 is in contact with p-type channel stopper region 21 formed in the surface portion on the first major surface side and p-type collector layer 9 formed in the surface portion on the second major surface side. The semiconductor device and the method for manufacturing the semiconductor device according to the invention facilitate preventing the reverse blocking voltage from decreasing and shorten the manufacturing time of the semiconductor device.
    Type: Grant
    Filed: May 20, 2010
    Date of Patent: September 10, 2013
    Assignees: Octec, Inc., Fuji Electric Co., Ltd.
    Inventors: Katsuya Okumura, Hiroki Wakimoto, Kazuo Shimoyama, Tomoyuki Yamazaki
  • Patent number: 8487411
    Abstract: A double patterned semiconductor structure is provided. The structure includes a first patterned and cured low-k structure located on a first portion of an antireflective coating, and a second patterned and cured low-k structure located on a second portion of the antireflective coating, wherein the second patterned and cured low-k structure is spaced apart from the first patterned and cured low-k dielectric structure.
    Type: Grant
    Filed: February 28, 2012
    Date of Patent: July 16, 2013
    Assignee: International Business Machines Corporation
    Inventor: Qinghuang Lin
  • Publication number: 20130146830
    Abstract: Semiconductor devices include lower interconnections, upper interconnections crossing over the lower interconnections, selection components disposed at crossing points of the lower interconnections and the upper interconnections, respectively, and memory components disposed between the selection components and the upper interconnections. Each of the selection components may include a semiconductor pattern having a first sidewall and a second sidewall. The first sidewall of the semiconductor pattern may have a first upper width and a first lower width that is greater than the first upper width. The second sidewall of the semiconductor pattern may have a second upper width and a second lower width that is substantially equal to the second upper width.
    Type: Application
    Filed: November 5, 2012
    Publication date: June 13, 2013
    Inventor: Samsung Electronics Co., Ltd.
  • Patent number: 8410574
    Abstract: An integrated microelectronic device is formed from a substrate having a first side and a second side and including a doped active zone (2) in the first side of the substrate. A circuit component is situated in the doped active zone. A through silicon via extends between the second side and the first side, the via being electrically isolated from the substrate by an insulating layer. A buffer zone is situated between the insulating layer and the doped active zone. This buffer zone is positioned under a shallow trench isolation zone provided around the doped active zone. The buffer zone functions to reduce the electrical coupling between the through silicon via and the doped active zone.
    Type: Grant
    Filed: December 7, 2010
    Date of Patent: April 2, 2013
    Assignee: STMicroelectronics (Crolles 2) SAS
    Inventors: Alexis Farcy, Maxime Rousseau
  • Publication number: 20130037906
    Abstract: A semiconductor device having a semiconductor die is provided. The semiconductor die includes a main horizontal surface, an outer edge, an active area, and a peripheral area. The peripheral area includes a dielectric structure surrounding the active area and extending from the main horizontal surface into the semiconductor die. The dielectric structure includes, in a horizontal cross-section, at least one substantially L-shaped portion that is inclined against the outer edge. Further, a method for forming a semiconductor device is provided.
    Type: Application
    Filed: August 9, 2011
    Publication date: February 14, 2013
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Franz Hirler, Anton Mauder, Hans-Joachim Schulze
  • Patent number: 8344474
    Abstract: In a sophisticated metallization system, self-aligned air gaps may be provided in a locally selective manner by using a radiation sensitive material for filling recesses or for forming therein the metal regions. Consequently, upon selectively exposing the radiation sensitive material, a selective removal of exposed or non-exposed portions may be accomplished, thereby resulting in a highly efficient overall manufacturing flow.
    Type: Grant
    Filed: February 18, 2010
    Date of Patent: January 1, 2013
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Robert Seidel, Thomas Werner
  • Patent number: 8304316
    Abstract: In a power semiconductor device and a method of forming a power semiconductor device, a thin layer of semiconductor substrate is left below the drift region of a semiconductor device. A power semiconductor device has an active region that includes the drift region and has top and bottom surfaces formed in a layer provided on a semiconductor substrate. A portion of the semiconductor substrate below the active region is removed to leave a thin layer of semiconductor substrate below the drift region. Electrical terminals are provided directly or indirectly to the top surface of the active region to allow a voltage to be applied laterally across the drift region.
    Type: Grant
    Filed: December 20, 2007
    Date of Patent: November 6, 2012
    Assignee: Cambridge Semiconductor Limited
    Inventors: Florin Udrea, Gehan Anil Joseph Amaratunga, Tanya Trajkovic, Vasantha Pathirana
  • Publication number: 20120273788
    Abstract: This invention generally relates to a patterned substrate for an electronic device and to electronic devices, device arrays, field effect transistors and transistor arrays comprising the patterned substrate. The invention also relates to a logic circuit, display, memory or sensor device comprising the patterned substrate. Further the invention relates to a method of patterning a substrate for an electronic device. In an embodiment, a patterned substrate for an electronic device comprises: a first body having an edge; a second body comprising an elongate plurality of printed droplets having an edge adjacent to and substantially aligned to said first body edge; and a separation between said first body edge and said second body edge, wherein said elongate plurality of printed droplets is at an angle of about 5 degrees to about 90 degrees to said first body edge.
    Type: Application
    Filed: July 29, 2010
    Publication date: November 1, 2012
    Inventors: Henning Sirringhaus, Mario Carioni, Enrico Gili
  • Publication number: 20120273918
    Abstract: A semiconductor device and a method for forming the same are disclosed. In a method for forming the semiconductor substrate including a cell region and a peripheral region, a guard pattern defined by an epitaxial growth layer located at the edge part between the cell region and the peripheral region is formed. As the guard pattern is not damaged by an oxidation process, a bias leakage path between an N-well bias and a P-well bias of the peripheral region is prevented from occurring Reliability of a gate oxide film may be increased, resulting in an increased production yield of the semiconductor device and implementation of stable voltage and current characteristics.
    Type: Application
    Filed: January 10, 2012
    Publication date: November 1, 2012
    Applicant: Hynix Semiconductor Inc.
    Inventor: Tae O Jung
  • Publication number: 20120228612
    Abstract: A composite base of the present invention includes a sintered base and a base surface flattening layer disposed on the sintered base, and the base surface flattening layer has a surface RMS roughness of not more than 1.0 nm. A composite substrate of the present invention includes the composite base and a semiconductor crystal layer disposed on a side of the composite base where the base surface flattening layer is located, and a difference between a thermal expansion coefficient of the sintered base and a thermal expansion coefficient of the semiconductor crystal layer is not more than 4.5×10?6K?1. Thereby, a composite substrate in which a semiconductor crystal layer is attached to a sintered base, and a composite base suitably used for that composite substrate are provided.
    Type: Application
    Filed: May 13, 2011
    Publication date: September 13, 2012
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Yuki Seki, Issei Satoh, Koji Uematsu, Yoshiyuki Yamamoto
  • Publication number: 20120199939
    Abstract: A silicon-on-insulator device has a localized biasing structure formed in the insulator layer of the SOI. The localized biasing structure includes a patterned conductor that provides a biasing signal to distinct regions of the silicon layer of the SOI. The conductor is recessed into the insulator layer to provide a substantially planar interface with the silicon layer. The conductor is connected to a bias voltage source. In an embodiment, a plurality of conductor is provided that respectively connected to a plurality of voltage sources. Thus, different regions of the silicon layer are biased by different bias signals.
    Type: Application
    Filed: April 13, 2012
    Publication date: August 9, 2012
    Applicant: Micron Technology, Inc.
    Inventors: Fernando Gonzalez, John K. Zahurak
  • Patent number: 8237193
    Abstract: A lateral transient voltage suppressor for low-voltage applications. The suppressor includes an N-type heavily doped substrate and at least two clamp diode structures horizontally arranged in the N-type heavily doped substrate. Each clamp diode structure further includes a clamp well arranged in the N-type heavily doped substrate and having a first heavily doped area and a second heavily doped area. The first and second heavily doped areas respectively belong to opposite conductivity types. There is a plurality of deep isolation trenches arranged in the N-type heavily doped substrate and having a depth greater than depth of the clamp well. The deep isolation trenches can separate each clamp well. The present invention avoids the huge leakage current to be suitable for low-voltage application.
    Type: Grant
    Filed: July 15, 2010
    Date of Patent: August 7, 2012
    Assignee: Amazing Microelectronic Corp.
    Inventors: Che-Hao Chuang, Kun-Hsien Lin, Ryan Hsin-Chin Jiang
  • Publication number: 20120187527
    Abstract: At least one embodiment is directed to a semiconductor edge termination structure, where the edge termination structure comprises several doped layers and a buffer layer.
    Type: Application
    Filed: September 7, 2011
    Publication date: July 26, 2012
    Inventors: Jaume Roig Guitart, Peter Moens, Zia Hossain
  • Publication number: 20120168895
    Abstract: A device includes a substrate with a device region on which a transistor is formed. The device region includes active edge regions and an active center region which have different oxidation growth rates. A growth rate modifier (GRM) comprising dopants which modifies oxidation growth rate is employed to produce a gate oxide layer which has a uniform thickness. The GRM may enhance or retard the oxidation growth, depending on the type of dopants used. Fluorine dopants enhance oxidation growth rate while nitrogen dopants retard oxidation growth rate.
    Type: Application
    Filed: December 29, 2010
    Publication date: July 5, 2012
    Applicant: GLOBALFOUNDRIES SINGAPORE PTE. LTD.
    Inventors: Chunshan YIN, Palanivel BALASUBRAMANIAM, Jae Gon LEE, Elgin QUEK
  • Publication number: 20120168899
    Abstract: A semiconductor device includes a plurality of first conductive patterns separated by a damascene pattern, a second conductive pattern buried in the damascene pattern, and a spacer including an air gap between the second conductive pattern and the first conductive patterns.
    Type: Application
    Filed: May 5, 2011
    Publication date: July 5, 2012
    Inventors: Hyung-Hwan KIM, Seong-Su Lim, Sung-Eun Park, Seung-Seok Pyo, Min-Cheol Kang
  • Publication number: 20120161296
    Abstract: A double patterned semiconductor structure is provided. The structure includes a first patterned and cured low-k structure located on a first portion of an antireflective coating, and a second patterned and cured low-k structure located on a second portion of the antireflective coating, wherein the second patterned and cured low-k structure is spaced apart from the first patterned and cured low-k dielectric structure.
    Type: Application
    Filed: February 28, 2012
    Publication date: June 28, 2012
    Applicant: International Business Machines Corporation
    Inventor: Qinghuang Lin
  • Publication number: 20120161295
    Abstract: Embodiments of the invention provide dielectric films and low-k dielectric films and methods for making dielectric and low-k dielectric films. Dielectric films are made from carbosilane-containing precursors. In embodiments of the invention, dielectric film precursors comprise attached porogen molecules. In further embodiments, dielectric films have nanometer-dimensioned pores.
    Type: Application
    Filed: December 23, 2010
    Publication date: June 28, 2012
    Inventors: David J. Michalak, James M. Blackwell, James S. Clarke
  • Patent number: 8193603
    Abstract: A semiconductor structure is formed in the metal interconnect structure of an integrated circuit in a method that provides either two individual resistors that are vertically isolated from each other, or a metal-insulator-metal (MIM) capacitor. As a result, both semiconductor resistors and MIM capacitors can be formed in the same process flow.
    Type: Grant
    Filed: May 28, 2010
    Date of Patent: June 5, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Jeffrey Klatt
  • Publication number: 20120132987
    Abstract: A method of forming an integrated circuit structure includes providing a semiconductor substrate; and forming a first and a second MOS device. The first MOS device includes a first active region in the semiconductor substrate; and a first gate over the first active region. The second MOS device includes a second active region in the semiconductor substrate; and a second gate over the second active region. The method further include forming a dielectric region between the first and the second active regions, wherein the dielectric region has an inherent stress; and implanting the dielectric region to form a stress-released region in the dielectric region, wherein source and drain regions of the first and the second MOS devices are not implanted during the step of implanting.
    Type: Application
    Filed: February 6, 2012
    Publication date: May 31, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Harry Chuang, Kong-Beng Thei, Mong-Song Liang
  • Publication number: 20120126359
    Abstract: A structure for reducing partially etched materials is described. The structure includes a layout of an intersection area between two trenches. First, a large intersection area having a trapezoidal corner may be replaced with an orthogonal intersection between two trenches. The layout reduces the intersection area as well as the possibility of having partially etched materials left at the intersection area. The structure also includes an alternative way to fill the intersection area with either an un-etched small trapezoidal area or multiple un-etched square areas, so that the opening area at the intersection point is reduced and the possibility of having partially etched materials is reduced too.
    Type: Application
    Filed: November 23, 2010
    Publication date: May 24, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tsung-Yuan Yu, Hsien-Wei Chen, Chung-Ying Yang
  • Publication number: 20120119324
    Abstract: A device may comprise a substrate formed of a first semiconductor material and a trench formed in the substrate. A second semiconductor material may be formed in the trench. The second semiconductor material may have first and second portions that are isolated with respect to one another and that are isolated with respect to the first semiconductor material.
    Type: Application
    Filed: November 15, 2010
    Publication date: May 17, 2012
    Applicant: Tessera MEMS Technologies, Inc.
    Inventors: Ankur Jain, Roman C. Gutierrez, Shi-Sheng Lee, Robert J. Calvet, Xiaolei Liu
  • Publication number: 20120119325
    Abstract: A device may comprise a substrate formed of a first semiconductor material, a first trench formed in the substrate, a second trench formed in the substrate proximate the first trench, an oxide layer formed in the first trench and the second trench, and a second semiconductor material formed upon the oxide layer. The oxide layer in the second trench may be adapted to mitigate undercut of the oxide layer in the first trench during an etching process.
    Type: Application
    Filed: November 15, 2010
    Publication date: May 17, 2012
    Applicant: Tessera MEMS Technologies, Inc.
    Inventors: Ankur Jain, Robert J. Calvet, Roman C. Gutierrez
  • Patent number: 8159029
    Abstract: A semiconductor device includes a semiconductor substrate, a source region and a drain region formed in the substrate, a gate structure formed on the substrate disposed between the source and drain regions, and a first isolation structure formed in the substrate between the gate structure and the drain region, the first isolation structure including projections that are located proximate to an edge of the drain region. Each projection includes a width measured in a first direction along the edge of the drain region and a length measured in a second direction perpendicular to the first direction, and adjacent projections are spaced a distance from each other.
    Type: Grant
    Filed: October 22, 2008
    Date of Patent: April 17, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ru-Yi Su, Puo-Yu Chiang, Jeng Gong, Tsung-Yi Huang, Chun-Lin Tsai, Chien-Chih Chou
  • Patent number: 8129799
    Abstract: A field-effect transistor (142) includes a lowly p-doped region 110 formed on a surface of a substrate (102), an n-doped drain region 112 and n-doped source region 114 arranged on a surface of the lowly p-doped region 110, and a device isolation insulating film 132 and device isolation insulating film 134. Here, the device isolation insulating film 132 is formed greater in film thickness than the device isolation insulating film 134; and in the n-doped source region 114, the peak concentration section having a highest dopant concentration is formed in a deeper position than in the n-doped drain region 112.
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: March 6, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Hiroki Fujii
  • Patent number: 8115272
    Abstract: An apparatus includes a semiconductor layer (2) having therein a cavity (4). A dielectric layer (3) is formed on the semiconductor layer. A plurality of etchant openings (24) extend through the dielectric layer for passage of etchant for etching the cavity. An SiO2 pillar (25) extends from a bottom of the cavity to engage and support a portion of the dielectric layer extending over the cavity. In one embodiment, a cap layer (34) on the dielectric layer covers the etchant openings.
    Type: Grant
    Filed: August 11, 2011
    Date of Patent: February 14, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Walter B. Meinel, Kalin V. Lazarov, Brian E. Goodlin
  • Publication number: 20120032311
    Abstract: An in-situ process is described incorporating plasma enhanced chemical vapor deposition comprising flowing at least one of a Si, Si+C, B, Si+B, Si+B+C, and B+C containing precursor, and a N containing precursors at first times and removing the N precursor at second times and starting the flow of an oxidant gas and a porogen gas into the chamber. A dielectric layer is described comprising a network having inorganic random three dimensional covalent bonding throughout the network which contains at least one SiCN, SiCNH, SiN, SiNH, BN, BNH, CBN, CBNH, BSiN, BSiNH, SiCBN and SiCBNH as a first component and a low k dielectric as a second component adjacent thereto.
    Type: Application
    Filed: August 9, 2010
    Publication date: February 9, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Stephen M. Gates, Alfred Grill, Son V. Nguyen, Satyanarayana V. Nitta
  • Publication number: 20120032267
    Abstract: A semiconductor device and method for forming the semiconductor device include forming structures in a semiconductor substrate. The structures have two or more different spacings between them. A dielectric material is deposited in the spacings. Ion species are implanted to a depth in the dielectric material to change an etch rate of the dielectric material down to the depth. The dielectric material having the ion species is etched selective to the dielectric material below the depth such that a substantially uniform depth in the dielectric material is created across the at least two spacings.
    Type: Application
    Filed: August 6, 2010
    Publication date: February 9, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: KANGGUO CHENG, Bruce B. Doris, Ali Khakifirooz, Ghavam G. Shahidi
  • Publication number: 20120018617
    Abstract: Disclosed herein is a semiconductor device including an element isolation region configured to be formed on a semiconductor substrate, wherein the element isolation region is formed of a multistep trench in which trenches having different diameters are stacked and diameter of an opening part of the lower trench is smaller than diameter of a bottom of the upper trench.
    Type: Application
    Filed: June 17, 2011
    Publication date: January 26, 2012
    Applicant: Sony Corporation
    Inventor: Yuki Miyanami
  • Patent number: 8102001
    Abstract: A semiconductor device for electrostatic discharge (ESD) protection includes a silicon controlled rectifier (SCR) including a semiconductor substrate, a first well formed in the substrate, a second well formed in the substrate, a first p-type region formed in the first well to serve as an anode, and a first n-type region partially formed in the second well to serve as a cathode, a p-type metal-oxide-semiconductor (PMOS) transistor formed in the first well including a gate, a first diffused region and a second diffused region separated apart from the first diffused region, a second n-type region formed in the first well electrically connected to the first diffused region of the PMOS transistor, and a second p-type region formed in the substrate electrically connected to the second diffused region of the PMOS transistor.
    Type: Grant
    Filed: September 27, 2010
    Date of Patent: January 24, 2012
    Assignee: Industrial Technology Research Institute
    Inventors: Ming-Dou Ker, Shih-Hung Chen, Kun-Hsien Lin
  • Publication number: 20120012973
    Abstract: A lateral transient voltage suppressor with ultra low capacitance is disclosed. The suppressor comprises a first type substrate and at least one diode cascade structure arranged in the first type substrate. The cascade structure further comprises at least one second type lightly doped well and at least one first type lightly doped well, wherein there are two heavily doped areas arranged in the second type lightly doped well and the first type lightly doped well. The cascade structure neighbors a second type well, wherein there are three heavily doped areas arranged in the second type well. The suppressor further comprises a plurality of deep isolation trenches arranged in the first type substrate and having a depth greater than depths of the second type lightly doped well, the second type well and the first type lightly doped well. Each doped well is isolated by trenches.
    Type: Application
    Filed: July 15, 2010
    Publication date: January 19, 2012
    Inventors: Che-Hao Chuang, Kun-Hsien Lin, Ryan Hsin-Chin Jiang
  • Publication number: 20120012974
    Abstract: A lateral transient voltage suppressor for low-voltage applications is disclosed. The suppressor comprises an N-type heavily doped substrate and at least two clamp diode structures horizontally arranged in the N-type heavily doped substrate. Each clamp diode structure further comprises a clamp well arranged in the N-type heavily doped substrate and having a first heavily doped area and a second heavily doped area. The first and second heavily doped areas respectively belong to opposite types. There is a plurality of deep isolation trenches arranged in the N-type heavily doped substrate and having a depth greater than depth of the clamp well. The deep isolation trenches can separate each clamp well. The present invention avoids the huge leakage current to be suitable for low-voltage application.
    Type: Application
    Filed: July 15, 2010
    Publication date: January 19, 2012
    Inventors: Che-Hao CHUANG, Kun-Hsien Lin, Ryan Hsin-Chin Jiang
  • Patent number: 8072020
    Abstract: A first select transistor is connected to one end of a plurality of memory cell transistors that are serially connected. A second select transistor is connected to the other end of the serially connected memory cell transistors. A first impurity diffusion region is formed in a semiconductor substrate and constitutes a first main electrode of the first select transistor. A second impurity diffusion region is formed in the semiconductor substrate and constitutes a second main electrode of the second select transistor. A depth of the first impurity diffusion region is greater than a depth of the second impurity diffusion region.
    Type: Grant
    Filed: March 27, 2009
    Date of Patent: December 6, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kenji Gomikawa, Hiroyuki Kutsukake, Yoshiko Kato, Mitsuhiro Noguchi
  • Publication number: 20110291225
    Abstract: A semiconductor structure is formed in the metal interconnect structure of an integrated circuit in a method that provides either two individual resistors that are vertically isolated from each other, or a metal-insulator-metal (MIM) capacitor. As a result, both semiconductor resistors and MIM capacitors can be formed in the same process flow.
    Type: Application
    Filed: May 28, 2010
    Publication date: December 1, 2011
    Inventor: Jeffrey Klatt
  • Patent number: 8039905
    Abstract: A semiconductor device includes a substrate having a first area and a second area, a first transistor in the first area, a second transistor in the second area, an isolation layer between the first area and the second area, and at least one buried shield structure on the isolation layer.
    Type: Grant
    Filed: March 11, 2009
    Date of Patent: October 18, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Bae Yoon, Jeong-Dong Choe, Dong-Hoon Jang, Ki-Hyun Kim