Illumination Systems Or Details Patents (Class 355/67)
  • Patent number: 9057963
    Abstract: An illumination optical system which illuminates a surface to be illuminated on the basis of light from a light source has a first optical path in which a diffractive optical element can be arranged at a first position thereof; a second optical path in which a spatial light modulator with a plurality of optical elements arrayed two-dimensionally and controlled individually can be arranged at a second position thereof; and a third optical path which is an optical path of light having passed via at least one of the first optical path and the second optical path and in which a distribution forming optical system is arranged. The distribution forming optical system forms a predetermined light intensity distribution on an illumination pupil located in the third optical path, based on the light having passed via at least one of the first and second optical paths.
    Type: Grant
    Filed: April 19, 2013
    Date of Patent: June 16, 2015
    Assignee: NIKON CORPORATION
    Inventor: Osamu Tanitsu
  • Patent number: 9052611
    Abstract: Optics, such as, for example, microlithographic projection exposure apparatus illumination optics, as well as related systems, methods, components and devices are disclosed.
    Type: Grant
    Filed: December 14, 2011
    Date of Patent: June 9, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Alexander Kohl
  • Patent number: 9053280
    Abstract: Methods, computer program products and apparatuses for optimizing design rules for producing a mask are disclosed, while keeping the optical conditions (including but not limited to illumination shape, projection optics numerical aperture (NA) etc.) fixed. A cross-correlation function is created by multiplying the diffraction order functions of the mask patterns with the eigenfunctions from singular value decomposition (SVD) of a TCC matrix. The diffraction order functions are calculated for the original design rule set, i.e., using the unperturbed condition. ILS is calculated at an edge of a calculated image of a critical polygon using the cross-correlation results and using translation properties of a Fourier transform. Once an optimum separation is calculated, it is incorporated into the design rule to optimize the mask layout for improved ILS throughout the mask.
    Type: Grant
    Filed: December 17, 2013
    Date of Patent: June 9, 2015
    Assignee: ASML NETHERLANDS B.V.
    Inventor: Robert John Socha
  • Publication number: 20150146186
    Abstract: A method includes performing a beam scan on a photolithography mask to repair the photolithography mask. After the beam scan, a radiation treatment is performed on the photolithography mask. The method is performed by an apparatus including a beam generator configured to generate and project a beam on the lithography mask, a radiation source configured to generate a radiation on the lithography mask, and a process gas source configured to release a process gas onto the lithography mask. The process as reacts with a surface portion of the lithography mask to repair the lithography mask. With the radiation treatment, residue process gas on the lithography mask is removed.
    Type: Application
    Filed: January 29, 2015
    Publication date: May 28, 2015
    Inventors: Chien-Hsing Lu, Chung-Hung Lin, Chih-Wei Wen
  • Publication number: 20150146181
    Abstract: The present invention discloses an apparatus of photolithography process to a liquid display panel, comprising: a platform, employed for loading the liquid display panel; a power supplying device, employed for supplying power to the liquid display panel; an ultraviolet light source supply device, employed for providing the ultraviolet light; a light distributing plate, employed for homogenizing the ultraviolet light. The present invention also discloses a method of photolithography process to a liquid display panel. The monomer can plenty reacts without damaging liquid crystal molecules according to the present invention.
    Type: Application
    Filed: August 16, 2012
    Publication date: May 28, 2015
    Inventor: Hsiao-Hsien Chen
  • Publication number: 20150146178
    Abstract: Techniques herein include systems and methods that provide a spatially-controlled or pixel-based projection of light onto a substrate to tune various substrate properties. A given pixel-based image projected on to a substrate surface can be based on a substrate signature. The substrate signature can spatially represent non-uniformities across the surface of the substrate. Such non-uniformities can include energy, heat, critical dimensions, photolithographic exposure dosages, etc. Such pixel-based light projection can be used to tune various properties of substrates, including tuning of critical dimensions, heating uniformity, evaporative cooling, and generation of photo-sensitive agents. Combining such pixel-based light projection with photolithographic patterning processes and/or heating processes improves processing uniformity and decreases defectivity. Embodiments can include using a digital light processing (DLP) chip, grating light valve (GLV), or other grid-based micro projection technology.
    Type: Application
    Filed: November 26, 2014
    Publication date: May 28, 2015
    Inventors: Anton J. deVilliers, Daniel Fulford, Gerrit J. Leusink
  • Publication number: 20150146185
    Abstract: Projection optical system for forming an image on a substrate and including an illumination relay lens and a projection lens each of which is a catadioptric system. The projection lens may include two portions in optical communication with one another, the first of which is dioptric and the second of which is catadioptric. In a specific case, the projection optical system satisfies 4 < ? ? I ? ? ? T ? < 30 , where ?I and ?T are magnifications of the first portion and the overall projection lens. Optionally, the projection lens may be structured to additionally satisfy 6 < ? ? II ? ? ? T ? < 20 , where ?II is a magnification of the second portion. A digital scanner including such projection optical system and operating with UV light having a spectral bandwidth on the order of 1 picometer. Method for forming an image with such projection optical system.
    Type: Application
    Filed: December 3, 2014
    Publication date: May 28, 2015
    Inventor: David M. Williamson
  • Publication number: 20150145151
    Abstract: A lithographic process is used to form a plurality of target structures (92, 94) distributed at a plurality of locations across a substrate and having overlaid periodic structures with a number of different overlay bias values distributed across the target structures. At least some of the target structures comprise a number of overlaid periodic structures (e.g., gratings) that is fewer than said number of different overlay bias values. Asymmetry measurements are obtained for the target structures. The detected asymmetries are used to determine parameters of a lithographic process. Overlay model parameters including translation, magnification and rotation, can be calculated while correcting the effect of bottom grating asymmetry, and using a multi-parameter model of overlay error across the substrate.
    Type: Application
    Filed: June 17, 2013
    Publication date: May 28, 2015
    Applicant: ASML Netherlands B.V.
    Inventors: Maurits Van Der Schaar, Kaustuve Bhattacharyya, Hendrik-Jan Hidde Smilde
  • Publication number: 20150146183
    Abstract: An illumination system of a microlithographic projection exposure apparatus includes an optical integrator having a plurality of light entrance facets each being associated with a secondary light source. A spatial light modulator has a light exit surface and transmit or to reflect impinging projection light in a spatially resolved manner. A pupil forming unit directs projection light on the spatial light modulator. An objective images the light exit surface of the spatial light modulator onto the light entrance facets of the optical integrator. The light exit surface of the optical light modulator includes groups of object areas being separated by areas that are not imaged on the light entrance facets. The objective combines images of the object areas so that the images of the object areas abut on the optical integrator.
    Type: Application
    Filed: November 17, 2014
    Publication date: May 28, 2015
    Inventors: Markus Deguenther, Vladimir Davydenko, Thomas Korb, Frank Schlesener, Stefanie Hilt, Wolfgang Hoegele
  • Publication number: 20150146184
    Abstract: An illumination system includes an optical integrator having a plurality of light entrance facets, whose images at least substantially superimpose in a mask plane. A spatial light modulator transmits or reflects impinging projection light in a spatially resolved manner. A pupil forming unit directs projection light onto the spatial light modulator. An objective images a light exit surface of the spatial light modulator onto the light entrance facets of the optical integrator so that an image of an object area on the light exit surface completely coincides with one of the light entrance facets. A control unit controls the spatial light modulator such that along a scan direction a length of an image, which is formed on a mask from a light pattern in the object area, gradually increases at a beginning of a scan cycle and gradually decreases at the end of the scan cycle.
    Type: Application
    Filed: November 17, 2014
    Publication date: May 28, 2015
    Inventors: Markus Deguenther, Vladimir Davydenko, Thomas Korb, Frank Schlesener, Stefanie Hilt, Wolfgang Hoegele
  • Publication number: 20150146182
    Abstract: A radiation source for generating EUV radiation includes a laser configured to fire laser pulses at a target area to which is supplied a stream of fuel droplets, which may be tin droplets that emit EUV radiation when excited by the laser beam. The EUV radiation is collected by a collector. The tin droplets may be pre-conditioned by a laser pre-pulse before the main laser pulse to change the shape of the droplets so that the droplets are in an optimum condition for receiving the main laser pulse. Embodiments of the invention take into account the effect of the vaporization of one fuel droplet on succeeding droplets and allow the timing of the main and/or pre-pulse to be adjusted to take into account any delay in arrival of the subsequent droplet or oscillations in the shape of the subsequent droplet which may be caused by vaporization of the preceding droplet.
    Type: Application
    Filed: April 29, 2013
    Publication date: May 28, 2015
    Inventors: Jan Bernard Plechelmus Van Schoot, Antonius Theodorus Wilhelmus Kempen, Hermanus Kreuwel, Andrei Mikhailovich Yakunin
  • Patent number: 9041902
    Abstract: An exposure apparatus exposes a substrate with exposure light via a liquid. The exposure apparatus includes an optical system including an emission surface from which the exposure light is emitted; a liquid supply port that supplies the liquid in order to fill an optical path of the exposure light emitted from the emission surface with the liquid; and a fluid supply port that supplies a fluid including a material capable of changing the specific resistance of the liquid to at least a part of a space around a liquid immersion space that is formed by the liquid.
    Type: Grant
    Filed: September 8, 2011
    Date of Patent: May 26, 2015
    Assignee: NIKON CORPORATION
    Inventor: Junichi Chonan
  • Patent number: 9041910
    Abstract: A multi facet mirror of a microlithographic projection exposure apparatus includes a plurality of mirror facet units. Each unit includes a mirror member with a body, a reflective coating provided at one end of the body and an actuating surface provided at an opposite end. The unit further includes a rest member on which the actuating surface rests while the mirror member is not moving, and an actuator that tilts the mirror member about a tilting axis. The actuator has a contact surface and a lifting member which moves the actuating surface along a lifting direction. In a first operating state of the lifting member the actuating surface rests on the rest member and in a second operating state on the contact surface. A displacement member displaces the contact surface along a lateral direction only while the lifting member is in the second operating state.
    Type: Grant
    Filed: January 22, 2013
    Date of Patent: May 26, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Thorsten Rassel, Markus Hauf
  • Patent number: 9041911
    Abstract: A lithographic apparatus having an optical column capable of creating a pattern on a target portion of the substrate. The optical column may be provided with a self-emissive contrast device configured to emit a beam and a projection system configured to project the beam onto the target portion. The apparatus may be provided with an actuator to move the optical column or a part thereof with respect to the substrate. An optical sensor device is provided which is movable in respect of the optical columns and has a range of movement which enables the optical sensor device to move through a projection area of each of the optical columns to measure a beam of each of the optical columns.
    Type: Grant
    Filed: February 18, 2011
    Date of Patent: May 26, 2015
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Johannes Onvlee, Pieter Willem Herman De Jager, Erwin John Van Zwet
  • Patent number: 9041909
    Abstract: The present invention provides an exposure apparatus and an exposure method. The method comprises: utilizing an exposure light source to provide light rays to the photo-resist layer, wherein the light rays pass through the mask and the transparent substrate to reach the photo-resist layer; and utilizing a reflective plate to reflect the light rays passing through the transparent substrate and the photo-resist layer back to the photo-resist layer. The present invention can reduce a line space of a pattern of the photo-resist layer.
    Type: Grant
    Filed: December 8, 2011
    Date of Patent: May 26, 2015
    Assignee: SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Minghung Shih, Jehao Hsu, Jingfeng Xue
  • Publication number: 20150138523
    Abstract: A metrology target formed by a lithographic process on a substrate includes a plurality of component gratings. Images of the target are formed using +1 and ?1 orders of radiation diffracted by the component gratings. Regions of interest (ROIs) in the detected image are identified corresponding the component gratings. Intensity values within each ROI are processed and compared between images, to obtain a measurement of asymmetry and hence overlay error. Separation zones are formed between the component gratings and design so as to provide dark regions in the image. In an embodiment, the ROIs are selected with their boundaries falling within the image regions corresponding to the separation zones. By this measure, the asymmetry measurement is made more tolerant of variations in the position of the ROI. The dark regions also assist in recognition of the target in the images.
    Type: Application
    Filed: May 1, 2013
    Publication date: May 21, 2015
    Inventors: Martin Jacobus Johan Jak, Armand Eugene Albert Koolen, Hendrik Jan Hidde Smilde
  • Publication number: 20150138522
    Abstract: In a so-called step-and-repeat method in which a mask pattern is circumferentially written by exposure onto each predetermined region on a surface of a roller mold, an object of the present invention is to improve the spacing accuracy between the mask patterns and to suppress the occurrence of misalignment at a seam when the mask pattern has been written onto a 360-degree circumference.
    Type: Application
    Filed: May 10, 2013
    Publication date: May 21, 2015
    Applicant: ASAHI KASEI KABUSHIKI KAISHA
    Inventors: Naoto Ito, Toshio Kitada
  • Patent number: 9036131
    Abstract: The present invention provides an exposure apparatus including a measurement unit configured to measure a position of the mark on a substrate, and a control unit configured to control an amount of light on a predetermined plane of an optical system included in the measurement unit, wherein an amount of light emitted by a light source is smaller in a non-measurement period in which the position of the mark is not measured than in a measurement period in which the position of the mark is measured, and the control unit sets a transmittance in an optical path between the light source and the predetermined plane to be higher in the non-measurement period than in the measurement period.
    Type: Grant
    Filed: May 7, 2012
    Date of Patent: May 19, 2015
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Noritoshi Sakamoto
  • Patent number: 9036133
    Abstract: A lithographic method related to Talbot imaging for printing a desired pattern of features that is periodic or quasi-periodic in at least one direction onto a substrate surface, which method includes providing a mask bearing a pattern of mask features, arranging the substrate parallel and in proximity to the mask, providing an illumination source having a central wavelength and a spectral bandwidth, forming from said source an illumination beam with an angular distribution of intensity, arranging the distance of the substrate from the mask and exposing the mask pattern to said beam so that each angular component of illumination exposes the substrate to substantially the entire range of lateral intensity distributions that occur between successive Talbot image planes for the illumination wavelengths, wherein the angular distribution of the beam is designed in conjunction with the pattern of features in the mask and the distance of the substrate from the mask.
    Type: Grant
    Filed: August 26, 2011
    Date of Patent: May 19, 2015
    Assignee: Eulitha AG
    Inventors: Harun H. Solak, Christian Dais, Francis Clube
  • Patent number: 9036130
    Abstract: A device for transmission image sensing for sensing an aerial image in a lithographic exposure apparatus comprises a projection system arranged to form, at an image side of the projection system, an aerial image of an object mark. The device further comprises a detector comprising a slit pattern having features corresponding to at least a part of the aerial image. The slit pattern is arranged to be exposed to the aerial image. The detector is further being arranged to detect detection radiation transmitted by the slit pattern; wherein d<0.85 ?/NA, where d represents the dimension of the smallest feature of the slit pattern, ? represents the intended wavelength of the detection radiation, and NA, which is larger than 1, represents the numerical aperture of the image side.
    Type: Grant
    Filed: February 19, 2010
    Date of Patent: May 19, 2015
    Assignee: ASML Netherlands B.V.
    Inventor: Bearrach Moest
  • Patent number: 9036132
    Abstract: A clamping device is constructed and arranged to clamp two parts together. The clamping device includes an aligner constructed and arranged to bring the two parts in an aligned position with respect to each other, a clamp constructed and arranged to maintain the two parts in the aligned position, a disconnect constructed and arranged to guide the two parts away from the aligned position to a disconnected position, and an actuator constructed and arranged to convert an electrical current to kinetic energy. The aligner, the clamp, and the disconnect are constructed and arranged to be driven by the actuator.
    Type: Grant
    Filed: June 14, 2012
    Date of Patent: May 19, 2015
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Robertus Wilhelmus Veltman, Dennis Jozef Maria Paulussen, Maarten Kees Jan Boon
  • Publication number: 20150131069
    Abstract: A reticle protection device capable of keeping a reticle therein is provided with an inner pod capable of keeping the reticle therein; an outer pod capable of keeping the inner pod therein; an electroconductive movable contact portion provided on at least one of the inner pod and the outer pod and being capable of coming into contact with an electroconductive film of the reticle; and a leaf spring for achieving electric conduction of the contact portion to at least one of the inner pod and the outer pod. The reticle is kept in the inner pod and the inner pod is kept in the outer pod, thereby enabling stable grounding of the reticle.
    Type: Application
    Filed: June 15, 2012
    Publication date: May 14, 2015
    Applicant: NIKON CORPORATION
    Inventor: Kazuya Ota
  • Publication number: 20150131068
    Abstract: A laser device includes: a laser light output unit that outputs a fundamental wave laser light; a wavelength conversion unit that performs wavelength conversion of the fundamental wave laser light and outputs a converted laser light; an output detector that detects a power of the converted laser light; a power feedback circuit that controls the power of the fundamental wave laser light such that the power of the converted laser light is in constant; a phase matching adjustment configuration that adjusts a quantity of state at the wavelength conversion optical element; and a phase matching control circuit that controls an operation the phase matching adjustment configuration, wherein in a state that the power of the converted laser light is controlled in constant, the phase matching control circuit adjust the quantity of state in a predetermined range such that the power of the fundamental wave laser light is minimized.
    Type: Application
    Filed: March 5, 2013
    Publication date: May 14, 2015
    Inventor: Yasutoshi Takada
  • Patent number: 9030646
    Abstract: In an exposure apparatus, a photomask 3 is provided with a plurality of mask pattern columns 15 formed by arranging a plurality of mask patterns 13 at a predetermined pitch in a direction substantially orthogonal to a conveying direction A of an object to be exposed and a plurality of microlenses 14 formed on a side of the object to be exposed corresponding to the mask patterns 13 to project reduced mask patterns 13 on the object to be exposed The photomask 3 is obtained by forming subsequent mask pattern columns 15b to 15d and the microlenses 14 corresponding to them so as to be shifted by a predetermined dimension in an arranging direction of a plurality of mask patterns 13 from a mask pattern column 15a located downstream in the conveying direction A of the object to be exposed.
    Type: Grant
    Filed: May 9, 2012
    Date of Patent: May 12, 2015
    Assignee: V Technology Co., Ltd.
    Inventor: Michinobu Mizumura
  • Patent number: 9030645
    Abstract: In an illumination optical system, a light flux from a light source is made to come into a first fly's eye optical system, and an illumination area is illuminated, via a second fly's eye optical system and a condenser optical system, with light fluxes from a plurality of mirror elements which construct the first fly's eye optical system, wherein a reflecting surface of each of the mirror elements has a width in one direction narrower than a width of each of the mirror elements in a direction perpendicular to the one direction, and a reflectance distribution in the one direction of each of the mirror elements is trapezoidal. The intensity distribution of the illumination area can be set to be a nonuniform distribution, and respective points in the illumination area can be illuminated with the light fluxes having an approximately same aperture angle distribution.
    Type: Grant
    Filed: July 14, 2009
    Date of Patent: May 12, 2015
    Assignee: Nikon Corporation
    Inventor: Masayuki Shiraishi
  • Patent number: 9030647
    Abstract: An optical imaging arrangement includes an optical projection system and a support structure system. The optical projection system includes a group of optical elements configured to transfer, in an exposure process using exposure light along an exposure light path, an image of a pattern of a mask supported by a mask support structure onto a substrate supported by a substrate support structure. The mask support structure and the substrate support structure form a primary source of vibration. The support structure system includes a base support structure, an optical element support structure and at least one secondary vibration source support structure of a secondary vibration source other than the primary source of vibration. The optical element support structure supports the optical elements.
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: May 12, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Yim-Bun Patrick Kwan, Dick Antonius Hendrikus Laro
  • Publication number: 20150124233
    Abstract: An illumination system for an EUV projection lithographic projection exposure apparatus comprises an EUV light source, which generates an output beam of EUV illumination light with a predetermined polarization state. An illumination optical unit guides the output beam along an optical axis, as a result of which an illumination field in a reticle plane is illuminated by the output beam. The light source comprises an electron beam supply device, an EUV generating device and a polarization setting device. The EUV generating device is supplied with an electron beam by the electron beam supply device. The polarization setting device exerts an adjustable deflecting effect on the electron beam for setting the polarization of the output beam. This results in an illumination system, which operates on the basis of an electron beam-based EUV light source and provides an output beam, which is improved for a resolution-optimized illumination.
    Type: Application
    Filed: January 12, 2015
    Publication date: May 7, 2015
    Inventor: Michael Patra
  • Publication number: 20150124232
    Abstract: An exposure apparatus is provided with a light source for emitting pulse light and exposes a substrate via to the pulse light an original. Further, the exposure apparatus comprises a detection unit for detecting the light quantity of the pulse light and a controller for controlling the light source and the detection unit. Here, the controller is configured to execute a first calibration process for obtaining a relation between a control input to the light source and a light quantity of a pulse light from the light source, based on light quantities of a plurality of pulse lights detected by the detector by causing the light source to emit the plurality of the pulse lights with a plurality of the control input, in parallel with execution of a second calibration process which is different from the first calibration process and executed using the plurality of pulse lights.
    Type: Application
    Filed: October 31, 2014
    Publication date: May 7, 2015
    Inventors: Kazuki YAMAMOTO, Tadahiro ASAISHI
  • Publication number: 20150124231
    Abstract: An assembly to modify a property of a plurality of radiation beams, the assembly including a plurality of waveguides configured to guide the plurality of radiation beams closer together, and a frequency multiplying device configured to receive the plurality of radiation beams guided by the plurality of waveguides and generate a corresponding plurality of radiation beams having frequencies that are an integer multiple higher. Also described are a corresponding lithography apparatus, method of modifying a property of a plurality of radiation beams and device manufacturing method.
    Type: Application
    Filed: May 6, 2013
    Publication date: May 7, 2015
    Applicant: ASML Netherlands B.V.
    Inventors: Heine Melle Mulder, Pieter Willem Herman De Jager
  • Patent number: 9025137
    Abstract: A method of structuring a photosensitive material is disclosed. The method includes illuminating a first object structure and projecting a pattern of the first object structure onto a photosensitive material such that the projected pattern of the first object structure is focussed at a first focus position with respect to the photosensitive material. The method also includes illuminating a second object structure and projecting a pattern of the second object structure onto the photosensitive material such that the projected pattern of the second object structure is focussed at a second focus position with respect to the photosensitive material. The respective patterns are projected in the same projection direction.
    Type: Grant
    Filed: December 18, 2012
    Date of Patent: May 5, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Aksel Goehnermeier
  • Patent number: 9025132
    Abstract: A digital exposure apparatus includes a displaceable stage, a light source part, a digital micro mirror part and a micro lens part. A substrate is disposed on the stage. The light source part generates a first light. The digital micro mirror part is disposed over the stage. The digital micro mirror part includes a plurality of digital micro mirrors. The digital micro mirror converts the first light into one or more second light beams. The micro lens part is disposed between the stage and the digital micro mirror part and includes a plurality of micro lenses. The micro lenses convert the one or more second light beams into one or more third light beams which are irradiated upon the substrate. The third light has an oval cross sectional shape.
    Type: Grant
    Filed: February 28, 2012
    Date of Patent: May 5, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventors: Sang-Hyun Yun, Cha-Dong Kim, Jung-In Park, Su-Yeon Sim, Hi-Kuk Lee
  • Patent number: 9025130
    Abstract: A method includes directing a beam of radiation along an optical axis toward a workpiece support, measuring a spectrum of the beam at a first time to obtain a first profile, measuring the spectrum of the beam at a second time to obtain a second profile, determining a spectral difference between the two profiles, and adjusting a position of the workpiece support along the optical axis based on the difference. A different aspect involves an apparatus having a workpiece support, beam directing structure that directs a beam of radiation along an optical axis toward the workpiece support, spectrum measuring structure that measures a spectrum of the beam at first and second times to obtain respective first and second profiles, processing structure that determines a difference between the two profiles, and support adjusting structure that adjusts a position of the workpiece support along the optical axis based on the difference.
    Type: Grant
    Filed: August 12, 2013
    Date of Patent: May 5, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chang-Tsun Hsieh, Fu-Jye Liang, Tzung-Chi Fu, Li-Jui Chen, Chih-Ming Ke
  • Patent number: 9025131
    Abstract: An optical beam deflecting element may be used effectively as an energy distribution manipulator in an illumination system to vary the energy distribution within a given spatial intensity distribution in a pupil plane of the illumination system substantially without changing the shape and size and position of illuminated areas in the pupil plane.
    Type: Grant
    Filed: January 25, 2012
    Date of Patent: May 5, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Daniel Runde, Florian Doll, Reinhard Voelkel, Kenneth Weible, Gundula Weiss, Michael Gerhard
  • Patent number: 9023982
    Abstract: A method is provided for purifying a resin for photolithography wherein, from an insufficiently purified resin (also referred to as “crude resin”), low molecular weight impurities such as an unreacted monomer and a polymerization initiator, which cause a development defect of a resist pattern or deterioration of the storage stability of the resin for photolithography can be removed more effectively. The method for purifying a resin for photolithography includes an operation (a) wherein a slurry in which a resin is dispersed in a solution containing a good solvent and a poor solvent is stirred, and then an operation (b) wherein, to said slurry, a poor solvent is added to lower the ratio of the good solvent to the poor solvent, and then, the resin is separated from the solution.
    Type: Grant
    Filed: November 5, 2013
    Date of Patent: May 5, 2015
    Assignee: Maruzen Petrochemical Co., Ltd.
    Inventor: Tomo Oikawa
  • Publication number: 20150116681
    Abstract: The present invention provides a computer-readable storage medium which stores a program for causing a computer to generate time-series data of an electric current to be supplied to a motor in order to cause, a control system, including the motor configured to drive an object, to transit from a first state to a second state, the program causing the computer to generate the time-series data so as to satisfy a constraint including a condition to constrain an upper limit value of dispersion of a plurality of state quantities respectively obtained from a plurality of models each of which estimates, from the time-series data, a state quantity of a specific mode of a vibration mode and motion mode of the object, and so that a value of an evaluation function for evaluating the time-series data falls within a tolerance.
    Type: Application
    Filed: October 21, 2014
    Publication date: April 30, 2015
    Inventor: Shinji UEDA
  • Publication number: 20150116683
    Abstract: A lithographic apparatus is provided that has a sensor at substrate level, the sensor including a radiation receiver, a transmissive plate supporting the radiation receiver, and a radiation detector, wherein the sensor is arranged to avoid loss of radiation between the radiation receiver and a final element of the radiation detector.
    Type: Application
    Filed: December 29, 2014
    Publication date: April 30, 2015
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Timotheus Franciscus SENGERS, Marcus Adrianus VAN DE KERKHOF, Mark KROON, Kees VAN WEERT
  • Publication number: 20150116703
    Abstract: A reflective optical element for a microlithographic projection exposure apparatus, a mask inspection apparatus or the like. The reflective optical element has an optically effective surface, an element substrate (12, 32, 42, 52), a reflection layer system (14, 34, 44, 54) and at least one deformation reduction layer (15, 35, 45, 55, 58). When the optically effective surface (11, 31, 41, 51) is irradiated with electromagnetic radiation, a maximum deformation level of the reflection layer system is reduced in comparison with a deformation level of an analogously constructed reflective optical element without the deformation reduction layer.
    Type: Application
    Filed: October 30, 2014
    Publication date: April 30, 2015
    Inventors: Boris Bittner, Norbert Wabra, Sonja Schneider, Ricarda Schneider, Hendrik Wagner, Rumen Iliew, Walter Pauls
  • Publication number: 20150116680
    Abstract: An ultraviolet laser device, includes: a first laser light output unit outputs a first infrared laser light; a second laser light output unit outputs a second infrared laser light; a first wavelength conversion optical system generates a first ultraviolet laser light of a fifth harmonic of the first infrared laser light; and a second wavelength conversion optical system to which the first ultraviolet laser light and the second infrared laser light enter, wherein the second wavelength conversion optical system includes a first wavelength conversion optical element which generates a second ultraviolet laser light by sum frequency generation of the first ultraviolet laser light and the second infrared laser light, and a second wavelength conversion optical element which generates a deep ultraviolet laser light by sum frequency generation of the second ultraviolet laser light and the second infrared laser light.
    Type: Application
    Filed: March 5, 2013
    Publication date: April 30, 2015
    Inventor: Akira Tokuhisa
  • Publication number: 20150116682
    Abstract: An imaging assembly for directing a pattern of energy at a workpiece includes (i) a reticle that defines a reticle array that includes a plurality of spaced apart, transmitting regions; (ii) an illumination source that generates an illumination beam; and (iii) a director assembly that selectively directs the illumination beam at the reticle array, the director assembly includes a plurality of director elements that are individually controlled to selectively control the beam pattern that is directed at the reticle array.
    Type: Application
    Filed: October 24, 2014
    Publication date: April 30, 2015
    Inventor: Steven Douglas Slonaker
  • Publication number: 20150116684
    Abstract: The spatial light modulator is provided with: a substrate; a fixed electrode disposed on a surface of the substrate; a connecting section, which has one end of the connecting section connected to the surface of the substrate; a movable section, which is connected to another end of the connecting section; a supporting post section, which extends in the thickness direction of the substrate with one end of the supporting post section connected to the movable section; a reflecting member, which is connected to another end of the supporting post section; a movable electrode, which is disposed on a surface of the reflecting member, the surface of the reflecting member facing the fixed electrode; and a conductive layer, which is disposed on the supporting post section with a film thickness larger than that of the movable electrode, and which electrically connects between the movable section and the movable electrode.
    Type: Application
    Filed: January 2, 2015
    Publication date: April 30, 2015
    Inventors: Junji Suzuki, Yoshihiko Suzuki
  • Patent number: 9019475
    Abstract: Microlithographic illumination system includes individually drivable elements to variably illuminate a pupil surface of the system. Each element deviates an incident light beam based on a control signal applied to the element. The system also includes an instrument to provide a measurement signal, and a model-based state estimator configured to compute, for each element, an estimated state vector based on the measurement signal. The estimated state vector represents: a deviation of a light beam caused by the element; and a time derivative of the deviation. The illumination system further includes a regulator configured to receive, for each element: a) the estimated state vector; and b) target values for: i) the deviation of the light beam caused by the deviating element; and ii) the time derivative of the deviation.
    Type: Grant
    Filed: April 17, 2014
    Date of Patent: April 28, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Stefan Xalter, Yim-Bun Patrick Kwan, Andras G. Major, Manfred Maul, Johannes Eisenmenger, Damian Fiolka, Jan Horn, Markus Deguenther, Florian Bach, Michael Patra, Johannes Wangler, Michael Layh
  • Patent number: 9019468
    Abstract: An exemplary embodiment of the present invention provides an interference projection exposure system comprising a beam-providing subsystem and an objective lens subsystem that can provide a plurality of light beams which intersect and interfere at an image plane to produce a high spatial frequency periodic optical-intensity distribution. The interference projection system can further comprise a pattern mask that can alter the periodic optical-intensity distribution so as to incorporate functional elements within the periodic optical-intensity distribution. The beam providing subsystem can comprise a beam generating subsystem, a beam conditioning subsystem and a beam directing subsystem. Another exemplary embodiment of the present invention provides for a method of producing a high spatial frequency periodic optical-intensity distribution using a interference projection exposure system.
    Type: Grant
    Filed: September 30, 2011
    Date of Patent: April 28, 2015
    Assignee: Georgia Tech Research Corporation
    Inventors: Guy Matthew Burrow, Thomas K. Gaylord
  • Patent number: 9019474
    Abstract: An illumination optical apparatus has an optical unit. The optical unit has a light splitter to split an incident beam into two beams; a first spatial light modulator which can be arranged in an optical path of a first beam; a second spatial light modulator which can be arranged in an optical path of a second beam; and a light combiner which combines a beam having passed via the first spatial light modulator, with a beam having passed via the second spatial light modulator; each of the first spatial light modulator and the second spatial light modulator has a plurality of optical elements arranged two-dimensionally and controlled individually.
    Type: Grant
    Filed: April 17, 2012
    Date of Patent: April 28, 2015
    Assignee: Nikon Corporation
    Inventor: Osamu Tanitsu
  • Publication number: 20150109596
    Abstract: The present invention discloses a system for achieving automatic compensation in glass substrate exposure process, including a measurement machine, a communication interface module and an exposure machine, wherein the measurement machine, for performing measurement on exposed glass substrate, and transmitting measured exposure shift data of each measurement point through communication interface module to a default storage area of exposure machine; and the exposure machine, for reading exposure shift data from each default storage area, obtaining a compensation value corresponding to each measurement point based on the exposure shift data and performing compensation processing on the glass substrate and each exposure point corresponding to each measurement point. The present invention also discloses a corresponding method. The present invention can improve compensation efficiency and accuracy of the exposure machine as save man power.
    Type: Application
    Filed: January 9, 2014
    Publication date: April 23, 2015
    Applicant: Shenzhen China Star Optoelectronics Technology Co. Ltd.
    Inventors: Wende Huang, Kai Shi, Shuhan Zhang
  • Patent number: 9013677
    Abstract: An imaging optics has a plurality of mirrors to image an object field in an object plane into an image field in an image plane. The imaging optics includes a first partial objective to image the object field onto an intermediate image, and the imaging optics includes a second partial objective to image the intermediate image onto the image field. The second partial objective includes a penultimate mirror in the beam path of imaging light between the object field and the image field, and the second partial objective includes a last mirror in the beam path. The penultimate mirror images the intermediate image onto a further intermediate image, and the last mirror images the further intermediate image onto the image field.
    Type: Grant
    Filed: September 4, 2012
    Date of Patent: April 21, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Hans-Juergen Mann, David Shafer
  • Patent number: 9013678
    Abstract: An optical system is disclosed that includes a plurality of elements arranged to image radiation at a wavelength ? from an object field in an object surface to an image field in an image surface. The elements include mirror elements have a reflective surface formed by a reflective coating positioned at a path of radiation. At least one of the mirror elements has a rotationally asymmetrical reflective surface deviating from a best-fit rotationally symmetric reflective surface by about ? or more at one or more locations. The elements include an apodization correction element effective to correct a spatial intensity distribution in an exit pupil of the optical system relative to the optical system without the apodization correcting element. The apodization correction element can be effective to increase symmetry of the spatial intensity distribution in the exit pupil relative to the optical system without the apodization correcting element.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: April 21, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Danny Chan, Hans-Juergen Mann, Sascha Migura
  • Patent number: 9013684
    Abstract: Microlithographic illumination system includes individually drivable elements to variably illuminate a pupil surface of the system. Each element deviates an incident light beam based on a control signal applied to the element. The system also includes an instrument to provide a measurement signal, and a model-based state estimator configured to compute, for each element, an estimated state vector based on the measurement signal. The estimated state vector represents: a deviation of a light beam caused by the element; and a time derivative of the deviation. The illumination system further includes a regulator configured to receive, for each element: a) the estimated state vector; and b) target values for: i) the deviation of the light beam caused by the deviating element; and ii) the time derivative of the deviation.
    Type: Grant
    Filed: July 24, 2012
    Date of Patent: April 21, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Stefan Xalter, Yim-Bun Patrick Kwan, Andras G. Major, Manfred Maul, Johannes Eisenmenger, Damian Fiolka, Jan Horn, Markus Deguenther, Florian Bach, Michael Patra, Johannes Wangler, Michael Layh
  • Patent number: 9013680
    Abstract: An illumination system of a microlithographic projection exposure apparatus includes a beam deflection array including a number beam deflection elements, for example mirrors. Each beam deflection element is adapted to deflect an impinging light beam by a deflection angle that is variable in response to control signals. The light beams reflected from the beam deflection elements produce spots in a system pupil surface. The number of spots illuminated in the system pupil surface during an exposure process, during which a mask is imaged on a light sensitive surface, is greater than the number of beam deflection elements. This may be accomplished with the help of a beam multiplier unit that multiplies the light beams reflected from the beam deflection elements. In another embodiment the beam deflecting elements are controlled such that the irradiance distribution produced in the system pupil surface changes between two consecutive light pulses of an exposure process.
    Type: Grant
    Filed: July 14, 2010
    Date of Patent: April 21, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Damian Fiolka, Ralf Mueller, Andras G. Major
  • Patent number: 9013674
    Abstract: According to example embodiments, a method of operating an exposure apparatus including a stage having a plurality of beam measurement devices, and an exposure head unit having a first set of exposure heads and a second set of exposure heads includes measuring a position of a first exposure head of the first set of exposure heads by moving the stage to coincide a first beam measurement device of the plurality of beam measurement devices with the first exposure head, setting the measured position of the first exposure head as a reference position, and measuring positions of the second set of exposure heads with respect to the reference position.
    Type: Grant
    Filed: July 19, 2011
    Date of Patent: April 21, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang Hyun Park, Sang Don Jang, Hi Kuk Lee
  • Patent number: 9013676
    Abstract: An individual mirror is used to construct a facet mirror. A mirror body of the individual mirror is configured to be tiltable relative to a rigid carrier body about at least one tilting axis of a tilting joint. The tilting joint is configured as a solid-body joint. The solid-body joint, perpendicular to the tilting axis, has a joint thickness S and, along the tilting axis, a joint length L. The following applies: L/S>50. The result is an individual mirror to construct a facet mirror, which can be reproduced and is precisely adjustable and simultaneously ensures adequate heat removal, in particular, heat produced by residually absorbed useful radiation, which is reflected by the individual mirror, by dissipation of the heat by the mirror body.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: April 21, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Armin Werber, Norbert Muehlberger, Florian Bach