Inspection Of Semiconductor Device Or Printed Circuit Board Patents (Class 382/145)
  • Publication number: 20130301904
    Abstract: A visual inspection apparatus photographs an inspection object using a camera to determine whether the inspection object is defective, and comprises: a stage part on which an inspection object is seated; a camera part including a lens and an image detection part, wherein the camera part photographs an image of the inspection object; an illumination part for illuminating the inspection object; and a visual processing part that reads the image photographed by the camera part to determine whether the inspection object is defective or not. Within a lateral camera of the camera part, which is slopingly installed with respect to a line perpendicular to a plane on which the inspection object is seated, a surface of the image detection part is slopingly installed at a predetermined angle with respect to a plane perpendicular to a line of connecting a center of the inspection object to a center of the camera lens of the lateral camera.
    Type: Application
    Filed: December 20, 2011
    Publication date: November 14, 2013
    Applicant: MIRTEC CO., LTD
    Inventors: Hyun Yul Lee, Tae Koang Park, Kun Hyung Kang
  • Publication number: 20130294678
    Abstract: A method for auto-sequencing of plasma processing system for concurrent processing of several substrates. The method autonomously sequence processing and move substrates in different directions as necessary. The method moves two substrate trays together into the processing chamber for substrate exchange, and remove the trays from the chamber one at a time. When needed, the method moves one tray into the processing chamber for removal of the susceptor without exposing the chamber to atmospheric environment.
    Type: Application
    Filed: May 20, 2013
    Publication date: November 7, 2013
    Applicant: Orbotech LT Solar, LLC.
    Inventors: Wendell Thomas Blonigan, Masato Toshima, Kam S. Law, David Eric Berkstresser, Steve Kleinke, Craig Lyle Stevens
  • Patent number: 8577119
    Abstract: A wafer surface observing apparatus for inspecting a peripheral portion of an object has (A) a lens system and a CCD camera for taking images of the peripheral portion of the object, (B) storage for storing image data about the taken images, and (C) display for displaying the image data stored in the storage device. In particular, the present apparatus can have functions of rotating the object placed on a prealignment portion, recording images of one full outer periphery of an end portion of the object by the lens system and CCD camera into the location where the orientation flat portions or notched portions of the object are placed in position, accepting the images into the storage device, and displaying the images on a CRT.
    Type: Grant
    Filed: January 29, 2007
    Date of Patent: November 5, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroshi Higashi, Tetsuya Watanabe, Kenji Aiko
  • Patent number: 8577124
    Abstract: A pattern inspection apparatus can be provided, for example, in a scanning electron microscope system. When patterns of a plurality of layers are included in a SEM image, the apparatus separates the patterns according to each layer by using design data of the plurality of layers corresponding to the patterns. Consequently, the apparatus can realize inspection with use of only the pattern of a target layer to be inspected, pattern inspection differently for different layers, or detection of a positional offset between the layers.
    Type: Grant
    Filed: January 5, 2012
    Date of Patent: November 5, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yasutaka Toyoda, Akiyuki Sugiyama, Ryoichi Matsuoka, Takumichi Sutani, Hidemitsu Naya
  • Patent number: 8577123
    Abstract: A method, system and a computer program product for evaluating contact elements, the method includes: acquiring images of multiple groups of contact elements, wherein each group of contact element was expected to be contacted during a test by the same group of probes so as to form multiple probe marks; and evaluating at least one characteristic of a first contact element in response to a comparison between a number of potential probe marks that appear in the image of a first contact element and a number of potential probe marks that appear in an image of a second contact element.
    Type: Grant
    Filed: January 27, 2008
    Date of Patent: November 5, 2013
    Assignee: Camtek Ltd.
    Inventors: Roni Flieswasser, Michael Lev
  • Patent number: 8571301
    Abstract: According to one embodiment, an alignment method includes setting a local area from an alignment target area, the local area being an execution target area of local alignment to be performed with precision equal to or higher than required alignment precision; performing the local alignment between a pattern image of an examination target and a reference pattern image of an examination reference, in the local area, to obtain a shift amount that is a result of local alignment; and shifting a whole of the reference pattern image by using the shift amount.
    Type: Grant
    Filed: February 25, 2011
    Date of Patent: October 29, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takafumi Sonoura
  • Patent number: 8571299
    Abstract: Identifying systematic defects in wafer processing including performing defect inspection of a plurality of wafers, identifying defects in each of the plurality of wafers as not being associated with a trivial and/or known root cause, determining a physical location on each wafer where each of the defects occurs and correlating the physical locations where each of the defects occurs with cell instances defined for those physical locations.
    Type: Grant
    Filed: August 30, 2010
    Date of Patent: October 29, 2013
    Assignee: International Business Machines Corporation
    Inventors: Mohammed F. Fayaz, Julie L. Lee, Leah M. Pastel, Maroun Kassab
  • Publication number: 20130279792
    Abstract: A semiconductor inspection apparatus performs a hybrid inspection process including cell-to-cell inspection, die-to-die inspection and die-to-golden or die-to-database inspection. The apparatus creates a golden image of a reticle complimentary to portions of the reticle that can be inspected by cell-to-cell inspection or die-to-die inspection. Alternatively, the apparatus creates a reduced database complimentary to portions of the reticle that can be inspected by cell-to-cell inspection or die-to-die inspection.
    Type: Application
    Filed: April 23, 2012
    Publication date: October 24, 2013
    Applicant: KLA-Tencor Corporation
    Inventors: Carl Hess, John D. Miller, Shan Xue, Patrick LoPresti
  • Publication number: 20130279793
    Abstract: An object of the present invention is to provide an image processing apparatus and a computer program which detects a defect such as a scum at high speed and with high precision. In order to accomplish the above-described object, the present invention proposes an image processing apparatus and a computer program which acquires image data, and detects edge branch points from this image data. Here, at each of the edge branch points, an edge associated therewith branches off in at least three or more directions. According to this configuration, it becomes possible to detect a defect such as a scum without utilizing the reference-pattern image. As a consequence, it becomes possible to detect the scum at high speed and with high precision.
    Type: Application
    Filed: October 26, 2011
    Publication date: October 24, 2013
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Yasutaka Toyoda, Norio Hasegawa, Ryoichi Matsuoka, Atsuko Yamaguchi
  • Publication number: 20130279791
    Abstract: A method for classification includes receiving an image of an area of a semiconductor wafer on which a pattern has been formed, the area containing a location of interest. At least one value for one or more attributes of the location of interest are computed based upon topographical features of the location of interest in a three-dimensional (3D) map of the area.
    Type: Application
    Filed: April 19, 2012
    Publication date: October 24, 2013
    Applicant: Applied Materials Israel Ltd.
    Inventors: Idan Kaizerman, Ishai Schwarzband, Efrat Rozenman
  • Patent number: 8565510
    Abstract: Methods for tracking the identity of die after singulation from a wafer. The product chips and die include a pattern of features formed in a metallization level of a back-end-of-line (BEOL) wiring structure. The features in the pattern contain information relating to the die, such as a unique identifier that includes a wafer identification used to fabricate the die and a product chip location for the die on a wafer. The features may be imaged with the assistance of a beam of electromagnetic radiation that penetrates into a packaged die and is altered by the presence of the features in a way that promotes imaging.
    Type: Grant
    Filed: January 27, 2012
    Date of Patent: October 22, 2013
    Assignee: International Business Machines Corporation
    Inventors: John M. Cohn, Mark J. Flemming, John C. Malinowski, Karl V. Swanke
  • Patent number: 8565509
    Abstract: The operation rate of a circuit pattern inspecting apparatus is prevented from deteriorating by measuring image noise of the circuit pattern inspecting apparatus and detecting the sign that the apparatus is to be in an abnormal state. Provided is the circuit pattern inspecting apparatus wherein circuit pattern abnormalities are detected by irradiating a substrate having a circuit pattern formed thereon with an electron beam and detecting generated secondary electrons or reflected electrons. The circuit pattern inspecting apparatus is provided with: an image processing section wherein an image is generated based on the signal intensities of the detected secondary electrons or those of the reflected electrons and the image is displayed for a display apparatus of the interface; and a control section which analyzes the frequency of noise included in the image.
    Type: Grant
    Filed: October 19, 2009
    Date of Patent: October 22, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyuki Takahashi, Yasuhiro Gunji, Hirokazu Ito
  • Patent number: 8558831
    Abstract: Disclosed is a method for drawing a distribution area of data points on a coordinate plane. The method includes a step in which a data point is selected as a first representative point; a step in which the data point corresponding to a direction in which a minimum angle is formed with respect to a first direction in a rotation direction is selected as a second representative point; a step in which the data point corresponding to a direction in which a minimum angle is formed with respect to a next direction in the rotation direction is selected as a next representative point, the step repeatedly selecting the next representative point; and a step in which the representative points are connected by a line to draw a distribution area indication line.
    Type: Grant
    Filed: April 8, 2011
    Date of Patent: October 15, 2013
    Assignee: Ricoh Company, Ltd.
    Inventors: Hirokazu Yanai, Junichi Konishi
  • Patent number: 8559001
    Abstract: Inspection guided overlay metrology may include performing a pattern search in order to identify a predetermined pattern on a semiconductor wafer, generating a care area for all instances of the predetermined pattern on the semiconductor wafer, identifying defects within generated care areas by performing an inspection scan of each of the generated care areas, wherein the inspection scan includes a low-threshold or a high sensitivity inspection scan, identifying overlay sites of the predetermined pattern of the semiconductor wafer having a measured overlay error larger than a selected overlay specification utilizing a defect inspection technique, comparing location data of the identified defects of a generated care area to location data of the identified overlay sites within the generated care area in order to identify one or more locations wherein the defects are proximate to the identified overlay sites, and generating a metrology sampling plan based on the identified locations.
    Type: Grant
    Filed: January 5, 2011
    Date of Patent: October 15, 2013
    Assignee: KLA-Tencor Corporation
    Inventors: Ellis Chang, Amir Widmann, Allen Park
  • Patent number: 8559697
    Abstract: A mask inspection system includes irradiation means for irradiating a sample with an electron beam, electron detection means for detecting a quantity of electrons generated from the sample, image processing means, storage means, and control means for determining divided areas in such a way that divided images adjacent to each other overlap with each other, and acquiring the divided images of the respective divided areas. The control means extracts two divided images adjacent to each other in a predetermined sequence, then detects an image of a same pattern formation area included in an overlap area, and determines the detected image to be a combination reference image. The control means then combines the two divided images adjacent to each other on the basis of the combination reference image to thereby form an entire SEM image of the observed area.
    Type: Grant
    Filed: April 11, 2011
    Date of Patent: October 15, 2013
    Assignee: Advantest Corp.
    Inventors: Tsutomu Murakawa, Yoshiaki Ogiso
  • Patent number: 8553970
    Abstract: A system and method for performing spatial signature analysis, the system including a memory unit for storing wafer defect density maps of multiple resolutions, derived from a defect map obtained by an inspection tool; an analyzer for analyzing the wafer defect density maps to identify zones of interest; and a spatial signature generator for generating spatial signatures in response to relations between zones of interest of different density resolution.
    Type: Grant
    Filed: August 14, 2012
    Date of Patent: October 8, 2013
    Assignee: Applied Materials Israel, Ltd.
    Inventor: Ditza Auerbach
  • Patent number: 8547429
    Abstract: A hotspot searching apparatus manufactures a small number of chips or regions on a semiconductor wafer under respectively different manufacturing process conditions, compares SEM images of their external appearances to output a point having large differences as a narrow process window, that is, a process monitoring point that should be managed in mass production, the narrow process window having a narrow manufacturing process condition (exposure condition) in the manufacturing of the semiconductor wafer, and sets the point as a measurement point by a CD-SEM apparatus, such that it extracts and determines plural circuit pattern parts having a narrow manufacturing process margin as the process monitoring point in a short time and a process monitoring point monitoring performs shape inspection or shape length measurement in detail at high resolution.
    Type: Grant
    Filed: February 26, 2009
    Date of Patent: October 1, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Toshifumi Honda, Yuuji Takagi
  • Patent number: 8548224
    Abstract: An inspection method for inspecting a device mounted on a substrate, includes generating a shape template of the device, acquiring height information of each pixel by projecting grating pattern light onto the substrate through a projecting section, generating a contrast map corresponding to the height information of each pixel, and comparing the contrast map with the shape template. Thus, a measurement object may be exactly extracted.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: October 1, 2013
    Assignee: Koh Young Technology Inc.
    Inventors: Joong-Ki Jeong, Yu-Jin Lee, Seung-Jun Lee
  • Patent number: 8547430
    Abstract: In a method for marking discrepancies of a captured image of an object, an image is captured and compared to a standard image. A discrepant image showing any discrepancies of the captured image is generated, and is separated into an R grayscale image, a G grayscale image, and a B grayscale image. An R channel matrix group, a G channel matrix group, and a B channel matrix group are created. R channel negative matrixes, G channel negative matrixes, and B channel negative matrixes are determined from the RGB channel matrix groups. RGB pixel groups are calculated based on the R channel negative matrixes, the G channel negative matrixes, and the B channel negative matrixes. A target pixel group to be marked is determined by calculating an intersection of the RGB pixel groups. The discrepancies of the digital image are marked out according to the target pixel group.
    Type: Grant
    Filed: July 15, 2011
    Date of Patent: October 1, 2013
    Assignees: Hong Fu Jin Precision Industry (ShenZhen) Co., Ltd., Hon Hai Precision Industry Co., Ltd.
    Inventors: Guang-Jian Wang, Dai-Gang Zhang, Jin-Rong Zhao, Xiao-Mei Liu
  • Patent number: 8548223
    Abstract: Optical image data of a mask is acquired. Reference image data associated with the optical images is created from design pattern data. Regional image data that includes pixel values denoted by multi-valued resolution based on importance level information of the patterns is created from region data including at least one portion of the patterns defined in the design pattern data. Defect determination is conducted on a pixel-by-pixel basis by comparing the optical image data with the reference image data, by means of either a plurality of threshold values determined by each pixel value within the regional image data or a plurality of defect determination methods. Image data of a section whose Mask Error Enhancement Factor (MEEF) is equal to or greater than a predetermined value is created from the region data including at least one portion of the patterns defined in the design pattern data.
    Type: Grant
    Filed: January 12, 2011
    Date of Patent: October 1, 2013
    Assignee: NuFlare Technology, Inc.
    Inventors: Takafumi Inoue, Hideo Tsuchiya
  • Patent number: 8542229
    Abstract: A disclosed identification method of identifying a data point distribution area on a coordinate plane includes selecting a data point as a first representative point, setting the first representative point as an initial reference point, setting a direction passing through the initial reference point as an initial representative point selection direction, selecting an initial data point direction having a smallest angle relative to the initial representative point selection direction in a predetermined rotation direction when viewed from the initial representative point selection direction, selecting a data point corresponding to the initial data point direction as a second representative point of the data point distribution area, and determining whether there is an overlapping area where a distribution representative point area overlaps a determination area.
    Type: Grant
    Filed: April 5, 2011
    Date of Patent: September 24, 2013
    Assignee: Ricoh Company, Ltd.
    Inventor: Hirokazu Yanai
  • Patent number: 8538130
    Abstract: A CD metrology system and method of classifying similar structural elements. The method includes: a) obtaining an image of the semiconductor structure; b) identifying sufficient numbers of structural elements belonging to first and second groups of similar structural elements, each group originating from a different manufacturing stage; c) assessing to each given structural element within the sufficient numbers of structural elements belonging to the first and second groups, one or more features indicative of a respective manufacturing stage, wherein values of the respective features are derived from the obtained image and; d) using results of the assessment for a classification decision related to manufacturing stages and, respectively, originating therefrom structural elements in the first and second groups of similar structural elements.
    Type: Grant
    Filed: September 1, 2011
    Date of Patent: September 17, 2013
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Yan Ivanchenko, Adi Costa
  • Patent number: 8538128
    Abstract: A method for determining the location of an additive, especially an additive that is not visible to a consumer, in an article with respect to a surface feature of the article is provided.
    Type: Grant
    Filed: April 11, 2011
    Date of Patent: September 17, 2013
    Assignee: The Procter & Gamble Company
    Inventors: André Mellin, Michael Paul Hausfeld, John Matthew Anast
  • Patent number: 8538167
    Abstract: In particular embodiments, analyzing data includes receiving sensor data generated in response to sensing one or more structures. The structural features of the sensor data are identified. Each structural feature is represented by one or more vectors. A score matrix describing relationships among the vectors is generated. Candidate corridors are identified from at least some of the vectors according to the score matrix. One or more candidate corridors are designated as designated corridors. Each designated corridor comprises an opening defined by at least two structural features. A layout of the structures is generated from the structural features and the designated corridors.
    Type: Grant
    Filed: January 7, 2010
    Date of Patent: September 17, 2013
    Assignee: Raytheon Company
    Inventor: Daniel W. Otts
  • Patent number: 8538165
    Abstract: A data memory storing Gerber data containing closed area information of a work; a display displaying a pattern image based on the closed area information of the Gerber data; a detection specification information display program displaying on the display a detection tool specifying a location of edge to be detected, a detection direction and detection length, by superimposing on the pattern image; an image capturing program and an image capturer capturing an image of an area corresponding to the detection tool of the work; an edge detection program performing an edge detection of the location of the edge to be detected with respect to data of a captured image; and a condition determination program determining a light-dark change condition indicating whether an image is changing from a light section to a dark section or from a dark section to a light section along a detection direction.
    Type: Grant
    Filed: April 21, 2011
    Date of Patent: September 17, 2013
    Assignee: Mitutoyo Corporation
    Inventors: Tsukasa Kojima, Kozo Ariga, Jyota Miyakura
  • Publication number: 20130236085
    Abstract: Systems and methods for providing micro defect inspection capabilities for optical systems are disclosed. Each given wafer image is filtered, treated and normalized prior to performing surface feature detection and quantification. A partitioning scheme is utilized to partition the wafer image into a plurality of measurement sites and metric values are calculated for each of the plurality of measurement sites. Furthermore, transformation steps may also be utilized to extract additional process relevant metric values for analysis purposes.
    Type: Application
    Filed: February 28, 2013
    Publication date: September 12, 2013
    Inventors: Haiguang Chen, Sergey Kamensky, Jaydeep Sinha, Pradeep Vukkadala
  • Patent number: 8532366
    Abstract: A method detects a position of a mark based on an image signal of the mark. The method includes steps of obtaining a first position of the mark by performing a first process for the image signal, extracting plural feature values from the image signal based on the first position, and detecting the position of the mark by obtaining an offset value for the first position based on the plural feature values.
    Type: Grant
    Filed: June 9, 2011
    Date of Patent: September 10, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventor: Satoru Oishi
  • Patent number: 8532949
    Abstract: Various computer-implemented methods for classifying defects on a specimen are provided. One method includes assigning individual defects detected on the specimen to defect groups based on one or more characteristics of the individual defects. The method also includes displaying information about the defect groups to a user. In addition, the method includes allowing the user to assign a classification to each of the defect groups. Systems configured to classify defects on a specimen are also provided. One system includes program instructions executable on a processor for assigning individual defects detected on the specimen to defect groups based on one or more characteristics of the individual defects. The system also includes a user interface configured for displaying information about the defect groups to a user and allowing the user to assign a classification to each of the defect groups.
    Type: Grant
    Filed: October 12, 2005
    Date of Patent: September 10, 2013
    Assignee: KLA-Tencor Technologies Corp.
    Inventors: Cho Huak Teh, Tommaso Torelli, Dominic David, Chiuman Yeung, Michael Gordon Scott, Lalita A. Balasubramanian, Lisheng Gao, Tong Huang, Jianxin Zhang, Michal Kowalski, Jonathan Oakley
  • Patent number: 8526708
    Abstract: A semiconductor wafer critical dimension measurement method comprising receiving an image of a site of the semiconductor wafer comprising a plurality of features, processing the image to measure at least one critical dimension of at least some of the features, analyzing the critical dimension of each feature and determining the feature to be a non-defective feature or a defective feature, and using the critical dimension of at least some of any non-defective features as a measure of the critical dimension of features of the semiconductor wafer.
    Type: Grant
    Filed: August 2, 2007
    Date of Patent: September 3, 2013
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Onder Anilturk
  • Patent number: 8526709
    Abstract: A method for determining physical placement data for a plurality of wafers is disclosed. The method includes obtaining raw CCD array data from a linear CCD array by clocking data from pixels of the linear CCD array into memory cells of the memory device and ascertaining pixel transition data to determine whether at least one of an upper edge error, a lower edge error, a wafer thickness error, and transition-per-slot error exists. If an error is found, the method includes generating an error signal.
    Type: Grant
    Filed: January 13, 2011
    Date of Patent: September 3, 2013
    Assignee: Lam Research Corporation
    Inventors: Robert Bond, Fareed Nabkel, Richard Adachi
  • Patent number: 8526707
    Abstract: In a method of inspecting a mask, an image of a pattern on the mask may be obtained. A histogram of the image by grey levels may be obtained. The histogram may be compared with information of the pattern to detect a defect of the mask. Thus, reliability of defect detection in the mask may be remarkably improved.
    Type: Grant
    Filed: December 15, 2010
    Date of Patent: September 3, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yoonna Oh, Jae-Pil Shin, Jin Choi, Moon-Hyun Yoo, Jong-Bae Lee
  • Publication number: 20130223723
    Abstract: The present invention provides a pattern measuring apparatus (600) that: acquires the image contour of a circuit pattern formed by transferring design data; classifies the acquired image contour into shape structures; calculates normal vectors for each shape structure; maps the shape structures to the image contour; uses at least one normal direction for each shape structure to stabilize the normal directions to the image contour; and uses the normal vectors for each shape structure to determine the position of a SEM contour.
    Type: Application
    Filed: March 28, 2011
    Publication date: August 29, 2013
    Inventors: Takuma Shibahara, Tsuyoshi Minakawa, Michio Oikawa, Yutaka Hojo, Hitoshi Sugahara, Hiroyuki Shindo
  • Patent number: 8520077
    Abstract: A color-unevenness inspection apparatus includes: an image pickup section picking up an image of an inspection target for a color-unevenness inspection; an image generation section generating an uneven-color image by determining one or more uneven-color regions existing in the picked-up image of the inspection target obtained by the image pickup section, and by classifying unit regions included in each of the uneven-color regions into a plurality of color groups; a calculation section calculating, on the uneven-color regions in the uneven-color image, an evaluation parameter to be used in the color-unevenness inspection; a correction section making a correction to the calculated evaluation parameter in consideration of a difference of color-unevenness visibility between the color groups; and an inspection section performing the color-unevenness inspection, based on a resultant evaluation parameter obtained by the correction.
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: August 27, 2013
    Assignee: Sony Corporation
    Inventors: Kunihiko Nagamine, Satoshi Tomioka
  • Publication number: 20130216121
    Abstract: In measuring pattern with large process fluctuation, correct measurement cannot be carried out if noises, such as pattern that is not the subject to be measured, and dirt, are present in periphery of pattern to be measured in previously registered measurement region. Among the image data of sample, predetermined region aligned by pattern matching is set as region not to be measured that is excluded from subjects of pattern measurement. For example, in measuring pattern with large process fluctuation, only region including pattern with small process fluctuation is used in pattern matching, while in measuring the pattern, predetermined region, which was used in pattern matching and aligned, is set as region not to be measured. Stable pattern measurement can be easily carried out with respect to pattern with large process fluctuation, without being affected by region where measurement region and region not to be measured overlap with each other.
    Type: Application
    Filed: October 14, 2011
    Publication date: August 22, 2013
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Fumihiro Sasajima, Yoshihiro Kimura
  • Patent number: 8515153
    Abstract: A scanning electron microscope comprises an image processing system for carrying out a pattern matching between a first image and a second image. The image processing system comprises: a paint-divided image generator for generating a paint divided image based on the first image; a gravity point distribution image generator for carrying out a smoothing process of the paint divided image and generating a gravity point distribution image; an edge line segment group generation unit for generating a group of edge line segments based on the second image; a matching score calculation unit for calculating a matching score based on the gravity point distribution image and the group of edge line segments; and a maximum score position detection unit for detecting a position where the matching score becomes the maximum.
    Type: Grant
    Filed: December 21, 2011
    Date of Patent: August 20, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Akiyuki Sugiyama, Hiroyuki Shindo
  • Patent number: 8515155
    Abstract: Although there has been a method for evaluating pattern shapes of electronic devices by using, as a reference pattern, design data or a non-defective pattern, the conventional method has a problem that the pattern shape cannot be evaluated with high accuracy because of the difficulty in defining an exact shape suitable for the manufacturing conditions of the electronic devices. The present invention provides a shape evaluation method for circuit patterns of electronic devices, the method including a means for generating contour distribution data of at least two circuit patterns from contour data sets on the circuit patterns; a means for generating a reference pattern used for the pattern shape evaluation, from the contour distribution data; and a means for evaluating the pattern shape by comparing each evaluation target pattern with the reference pattern.
    Type: Grant
    Filed: January 25, 2013
    Date of Patent: August 20, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yasutaka Toyoda, Hideo Sakai, Ryoichi Matsuoka
  • Publication number: 20130208973
    Abstract: A system and method are presented for use in inspection of patterned structures. The system comprises: data input utility for receiving first type of data indicative of image data on at least a part of the patterned structure, and data processing and analyzing utility configured and operable for analyzing the image data, and determining a geometrical model for at least one feature of a pattern in said structure, and using said geometrical model for determining an optical model for second type of data indicative of optical measurements on a patterned structure.
    Type: Application
    Filed: July 16, 2011
    Publication date: August 15, 2013
    Applicant: NOVA MEASURING INSTRUMENTS LTD.
    Inventor: Boaz Brill
  • Patent number: 8507856
    Abstract: A pattern measuring method and device are provided which set a reference position for a measuring point to be measured by a scanning electron microscope and the like, based on position information of a reference pattern on an image acquired from the scanning electron microscope and based on a positional relation, detected by using design data, between the measuring point and the reference pattern formed at a position isolated from the measuring point.
    Type: Grant
    Filed: April 26, 2012
    Date of Patent: August 13, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takumichi Sutani, Ryoichi Matsuoka, Hidetoshi Morokuma, Hitoshi Komuro, Akiyuki Sugiyama
  • Patent number: 8509516
    Abstract: Provided is an examination technique to detect defects with high sensitivity at an outer-most repetitive portion of a memory mat of a semiconductor device and even in a peripheral circuit having no repetitiveness. A circuit pattern inspection apparatus comprises an image detection unit for acquiring an image of a circuit pattern composed of multiple die having a repetitive pattern, a defect judgment unit which composes, in respect of an acquired detected image, reference images by switching addition objectives depending on regions of repetitive pattern and the other regions and compares a composed reference image with the detected image to detect a defect, and a display unit for displaying the image of the detected defect.
    Type: Grant
    Filed: July 13, 2009
    Date of Patent: August 13, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takashi Hiroi, Takeyuki Yoshida, Naoki Hosoya, Toshifumi Honda
  • Publication number: 20130202187
    Abstract: A system for location based wafer analysis, the system comprising: (i) a first input interface; (ii) a second input interface; (iii) a correlator; and (iv) a processor, configured to generate inspection results for the inspected wafer, with the help of at least one frame run-time displacement.
    Type: Application
    Filed: February 7, 2012
    Publication date: August 8, 2013
    Applicant: Applied Materials Israel Ltd.
    Inventors: Zvi Goren, Nir Ben-David Dodzin
  • Publication number: 20130202188
    Abstract: A defect inspection method has the following steps. An irradiation step of irradiating illumination light on an object. A detection step of detecting scattered light from the object. A defect detection step having the following steps. A first pixel-value information acquisition step of dividing an image based on the scattered light into multiple areas and obtaining first pixel value information, information of the pixel value about each of the multiple areas. A second pixel-value information acquisition step of acquiring second pixel value information, information of the pixel value about all the areas by processing the first pixel value information obtained. A similarity calculation step of calculating the similarity between each image of the multiple areas and the image of all the areas by comparing the first and the second pixel value information. A defect extraction step of extracting a defect of the object using the calculated similarity.
    Type: Application
    Filed: February 4, 2013
    Publication date: August 8, 2013
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventor: HITACHI HIGH-TECHNOLOGIES CORPORATION
  • Publication number: 20130202186
    Abstract: A method for measuring critical dimension (CD) includes steps of: scanning at least one area of interest of a die to obtain at least one scanned image; aligning the scanned image to at least one designed layout pattern to identify a plurality of borders within the scanned image; and averaging distances each measured from the border or the plurality of borders of a pattern associated with a specific type of CD corresponding to the designed layout pattern to obtain a value of CD of the die. The value of critical dimensions of dies can be obtained from the scanned image with lower resolution which is obtained by relatively higher scanning speed, so the above-mentioned method can obtain value of CD for every die within entire wafer to monitor the uniformity of the semiconductor manufacturing process within an acceptable inspection time.
    Type: Application
    Filed: March 5, 2013
    Publication date: August 8, 2013
    Applicant: HERMES MICROVISION INC.
    Inventor: HERMES MICROVISION INC.
  • Patent number: 8501376
    Abstract: A method for performing a photolithography process includes providing a reticle on a projection apparatus, the reticle having a test pattern defined thereon, the test pattern including a plurality of one-dimensional structures and a plurality of two-dimensional structures. The test pattern defined on the reticle is transferred to at least one area on a wafer. The projection apparatus is focused on the test pattern transferred on the wafer during a photolithography process to perform a process monitoring.
    Type: Grant
    Filed: March 12, 2011
    Date of Patent: August 6, 2013
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Chi Yuan Hung, Bin Zhang, Ze Xi Deng, Li Guo Zhang
  • Patent number: 8503789
    Abstract: A system for measuring lens deflection of an electronic device includes a first shape, an image processing module, a first angle calculation module, and a second angle calculation module. The first shape is formed by edges of an ideal image captured that corresponds to a correctly mounted lens in the electronic device. The image processing module processes a currently captured image to acquire a second shape formed by edges of the present image. The first shape and the second shaped are imposed on each other. The first angle calculation module computes a first angle according to a rotation angle of the second shape relative to the first shape. A second angle calculation module computes a second angle according to a translating distance of the second shape relative to the first shape.
    Type: Grant
    Filed: March 22, 2010
    Date of Patent: August 6, 2013
    Assignees: Hong Fu Jin Precision Industry (ShenZhen) Co., Ltd., Hon Hai Precision Industry Co., Ltd.
    Inventors: Wen-Yi Wu, Xi Wang
  • Publication number: 20130195346
    Abstract: To enable SEM-based management of a cross-sectional shape or manufacturing process parameters of a semiconductor device pattern to be measured, the association between the shape or parameters and SEM image characteristic quantities effective for estimating the shape or parameters is saved as learning data. The image characteristic quantities are collated with learning data to estimate the shape or to monitor the process parameters. Accuracy and reliability is achievable by calculating three kinds of reliability (reliability of the image characteristic quantities, reliability of estimation engines, and reliability of estimating results) based on the distribution of the image characteristic quantities and then judging whether additional learning is necessary, or selecting and adjusting image characteristic quantities and estimation engine based on the reliability.
    Type: Application
    Filed: January 10, 2013
    Publication date: August 1, 2013
    Inventors: Wataru Nagatomo, Atsushi Miyamoto, Hidetoshi Morokuma
  • Patent number: 8498470
    Abstract: A method, system and a computer program product for evaluating a object; the method includes: (i) obtaining an image of an area of the object; wherein the area comprises multiple arrays of repetitive structural elements that are at least partially surrounded by at least one group of non-repetitive regions; wherein non-repetitive regions that belong to a single group of non-repetitive regions are ideally identical to each other; wherein the non-repetitive regions are arranged in a repetitive manner; and (ii) providing an evaluation result in response to a comparison between image information of a first sub-area to image information of a second sub-area that is proximate to the first sub-area; wherein the first sub-area comprises a first array of repetitive structural elements and a first non-repetitive region; wherein the second subarea comprises a second array of repetitive structural elements and a second non-repetitive region.
    Type: Grant
    Filed: August 14, 2012
    Date of Patent: July 30, 2013
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Nir Ben-David Dodzin, Vered Gatt
  • Patent number: 8498471
    Abstract: A method of identifying a wafer serial number is provided. First, a wafer having a wafer serial number is provided. Second, an identification procedure is carried out to identify the wafer serial number by means of multiple identification recipes thereby obtaining multiple digit results which correspond to the multiple identification recipes and a specific digit in the wafer serial number. The multiple digit results include at least two of a successful result and an unsuccessful result. Then, the wafer serial number is determined in accordance with the multiple digit results.
    Type: Grant
    Filed: April 9, 2010
    Date of Patent: July 30, 2013
    Assignee: Inotera Memories, Inc.
    Inventors: Wei-Chin Chen, Chien-Ming Li
  • Patent number: 8492058
    Abstract: The energy distribution of exposure light directed passing through the slit of an exposure apparatus is determined. A photoresist layer on a substrate is exposed over a plurality of shots while changing the intensity of the exposure light for each shot. Then the photoresist layer is developed to form a sample photoresist layer. An image of the developed sample photoresist layer is analyzed for color intensity. Values of the color intensity across a selected one of the shots are correlated with values of the intensity of the exposure light to produce an energy distribution of the exposure light along the length of the slit. The energy distribution is used to change the slit so that a more desirable energy distribution may be realized when the slit is used in a process of manufacturing a semiconductor device.
    Type: Grant
    Filed: December 11, 2012
    Date of Patent: July 23, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Seok Heo, Seok-Hwan Oh, Jeong-Ho Yeo
  • Patent number: 8494802
    Abstract: Computer-implemented methods, computer-readable media, and systems for determining one or more characteristics of a wafer are provided.
    Type: Grant
    Filed: June 19, 2009
    Date of Patent: July 23, 2013
    Assignee: KLA-Tencor Corp.
    Inventors: Haiguang Chen, Daniel Kavaldjiev, Louis Vintro, George Kren
  • Patent number: 8483476
    Abstract: Disclosed is a method (300) of manufacturing at least one semiconductor photovoltaic cell or module and for classifying semiconductor material. In one implementation (500) the method involves luminescence imaging a wafer at each of a plurality of stages (312-324) of the manufacturing process, and comparing at least two images obtained from the imaging step in respect of the same wafer to identify the incidence or growth of a manufacturing process induced fault. The wafer is removed (351-356) from the manufacturing process (310) where a process induced fault is identified that exceeds a predetermined level of acceptability or the fault may be remedied, or the wafer passed to an alternate manufacturing process to match its characteristics. In an alternate implementation the method comprises classifying semiconductor material.
    Type: Grant
    Filed: September 1, 2008
    Date of Patent: July 9, 2013
    Assignee: BT Imaging Pty Ltd
    Inventors: Robert Andrew Bardos, Thorsten Trupke