Inspection Of Semiconductor Device Or Printed Circuit Board Patents (Class 382/145)
  • Patent number: 9818190
    Abstract: The disclosure relates to devices, systems and methods for image registration and annotation. The devices include computer software products for aligning whole slide digital images on a common grid and transferring annotations from one aligned image to another aligned image on the basis of matching tissue structure. The systems include computer-implemented systems such as work stations and networked computers for accomplishing the tissue-structure based image registration and cross-image annotation. The methods include processes for aligning digital images corresponding to adjacent tissue sections on a common grid based on tissue structure, and transferring annotations from one of the adjacent tissue images to another of the adjacent tissue images.
    Type: Grant
    Filed: March 12, 2014
    Date of Patent: November 14, 2017
    Assignee: Ventana Medical Systems, Inc.
    Inventors: Srinivas Chukka, Anindya Sarkar, Bikash Sabata, Quan Yuan
  • Patent number: 9811006
    Abstract: A method of determining a measurement subset of metrology point locations which includes a subset of potential metrology point locations on a substrate. The method including identifying a plurality of candidate metrology point locations from the potential metrology point locations. A change in the level of informativity imparted by the measurement subset of metrology point locations which is attributable to the inclusion of that candidate metrology point location into the measurement subset of metrology point locations is evaluated for each of the candidate metrology point locations. The candidate metrology point locations which have the greatest increase in the level of informativity attributed thereto are selected for inclusion into the measurement subset of metrology point locations.
    Type: Grant
    Filed: November 4, 2014
    Date of Patent: November 7, 2017
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Jochem Sebastiaan Wildenberg, Everhardus Cornelis Mos
  • Patent number: 9811001
    Abstract: A method of position control of an optical component relative to a surface is disclosed. The method may include: obtaining a first signal by a first position measurement process; controlling relative movement between the optical component and the surface for a first range of motion using the first signal; obtaining a second signal by a second position measurement process different than the first position measurement process; and controlling relative movement between the optical component and the surface for a second range of motion using the second signal, the second range of motion being nearer the surface than the first range of motion.
    Type: Grant
    Filed: March 9, 2016
    Date of Patent: November 7, 2017
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Peter Danny Van Voorst, Duygu Akbulut, Koos Van Berkel, Jeroen Johan Maarten Van De Wijdeven, Ferry Zijp
  • Patent number: 9805462
    Abstract: Apparatus and methods for inspecting a specimen are disclosed. An inspection tool is used at one or more operating modes to obtain images of a plurality of training regions of a specimen, and the training regions are identified as defect-free. Three or more basis training images are derived from the images of the training regions. A classifier is formed based on the three or more basis training images. The inspection system is used at the one or more operating modes to obtain images of a plurality of test regions of a specimen. Three or more basis test images are derived from to the test regions. The classifier is applied to the three or more basis test images to find defects in the test regions.
    Type: Grant
    Filed: April 10, 2017
    Date of Patent: October 31, 2017
    Assignee: KLA-Tencor Corporation
    Inventors: Abdurrahman Sezginer, Gang Pan, Bing Li
  • Patent number: 9785734
    Abstract: A method includes obtaining first data representing a first circuit symbol and second data representing a second circuit symbol. The first circuit symbol has a plurality of first pins having a first position vector associated therewith. The second circuit symbol has a plurality of second pins having a second position vector associated therewith, and each of the plurality of second pins corresponds to a respective one of the plurality of first pins. An adjustment transformation mapping position vectors to transform the position vectors is determined. The adjustment transformation minimizes an error measure that is based on one or more deviations. Each deviation is a deviation between a transformed position vector and the first position vector associated with one of the first pins. The transformed position vector is obtained by applying the adjustment transformation to the second position vector associated with the second pin corresponding to the first pin.
    Type: Grant
    Filed: July 29, 2015
    Date of Patent: October 10, 2017
    Assignee: GLOBALFOUNDRIES Inc.
    Inventor: Guntram Jummel
  • Patent number: 9776018
    Abstract: Systems and methods are proposed for accurate and efficient automatic measurement of jaw and leaf positioning in multi-leaf collimator imaging systems. Specifically, the method enables the automated and objective processing of images to determine characteristics of collimator jaws and MLC leaves. These novel techniques enable verification of collimator component positioning to ensure accurate beam modulation for radiation application procedures.
    Type: Grant
    Filed: October 21, 2016
    Date of Patent: October 3, 2017
    Assignee: Varian Medical Systems, Inc.
    Inventor: Stephen Gaudio
  • Patent number: 9769926
    Abstract: A circuit board includes a board base with a first surface and a second surface that is located opposite the first surface. A plurality of first coupling pads are located on the first surface of the board base. A plurality of second coupling pads are located on the second surface of the board base. The first coupling pads and the second coupling pads define a coupling pad footprint. A breakout via system is included in the board base. The breakout via system includes a plurality of primary signal vias that are located in the board base and outside of the coupling pad footprint, a plurality of first primary signal via connections that extend between the primary signal vias and the plurality of first coupling pads, and a plurality of second primary signal via connections that extend between the primary signal vias and the plurality of second coupling pads.
    Type: Grant
    Filed: April 23, 2015
    Date of Patent: September 19, 2017
    Assignee: Dell Products L.P.
    Inventors: Kevin Warren Mundt, Sandor Farkas, Bhyrav Mutnury
  • Patent number: 9727803
    Abstract: Systems, methods, and non-transitory computer-readable media can identify a set of regions corresponding to a geographical area. A collection of training images can be acquired. Each training image in the collection can be associated with one or more respective recognized objects and with a respective region in the set of regions. Histogram metrics for a plurality of object categories within each region in the set of regions can be determined based at least in part on the collection of training images. A neural network can be developed based at least in part on the histogram metrics for the plurality of object categories within each region in the set of regions and on the collection of training images.
    Type: Grant
    Filed: August 4, 2016
    Date of Patent: August 8, 2017
    Assignee: Facebook, Inc.
    Inventors: Kevin Dechau Tang, Lubomir Bourdev, Balamanohar Paluri, Robert D. Fergus
  • Patent number: 9710538
    Abstract: An acquirer acquires, for the on-the path categories situated on the path from the topmost category of a hierarchical structure comprising categories into which products or serves are classified to each of a category of interest and the categories immediately below the category of interest, the frequencies of the names of the on-the-path categories and a keyword co-occurring in a search query given to a search device. An identifier identifies the category of interest as a category candidate immediately above a category of which the name is given by the keyword when the frequencies acquired for the on-the-path categories satisfy a candidate condition associated by the search device.
    Type: Grant
    Filed: April 5, 2013
    Date of Patent: July 18, 2017
    Assignee: Rakuten, Inc.
    Inventor: Takashi Fukuda
  • Patent number: 9704235
    Abstract: When the lengths of FEM wafers are automatically measured, not only the sizes of targets, the lengths of which are to be measured, are often varied from those in registration, but also the patterns of the targets are often deformed. Therefore, it is difficult to automatically determine whether the length measurement is possible or not. Therefore, the following are executed with a semiconductor inspection system: (1) a process of identifying the position of the contour line of an inspected image using a distance image calculated from a reference image, (2) a process of calculating a defect size image based on the position of the contour line with respect to the identified distance image, and detecting a defect candidate from the defect size image, and (3-1) a process of, upon detection of the defect candidate, calculating the size of the detected defect candidate, or (3-2) a process of detecting a portion different between the first and second contour lines as the defect candidate.
    Type: Grant
    Filed: July 20, 2012
    Date of Patent: July 11, 2017
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Akiyuki Sugiyama, Yuichi Abe
  • Patent number: 9690026
    Abstract: A direct-write lithography apparatus includes a polarization selector stage configured to vary a polarization orientation angle of light from a light source, a focusing element configured to focus the light from the light source into a spot at a focal plane thereof, and a scanning stage configured to scan the spot in at least two dimensions along a surface of a polarization-sensitive recording medium that is arranged proximate to the focal plane such that neighboring scans substantially overlap. The polarization selector stage and the scanning stage are configured to be operated independently of one another. Related fabrication methods of and optical elements fabricated thereby are also discussed.
    Type: Grant
    Filed: October 15, 2013
    Date of Patent: June 27, 2017
    Assignee: North Carolina State University
    Inventors: Michael J. Escuti, Matthew N. Miskiewicz, Jihwan Kim
  • Patent number: 9626996
    Abstract: Provided herein is a method, including a) transferring an initial pattern of an initial template to a substrate; b) performing block copolymer self-assembly over the substrate with a density multiplication factor k; c) creating a subsequent pattern in a subsequent template with the density multiplication factor k; and d) repeating steps a)-c) with the subsequent template as the initial template until a design specification for the subsequent pattern with respect to pattern density and pattern resolution is met.
    Type: Grant
    Filed: January 2, 2015
    Date of Patent: April 18, 2017
    Assignee: Seagate Technologies LLC
    Inventors: XiaoMin Yang, Zhaoning Yu, Kim Yang Lee, Michael Feldbaum, Yautzong Hsu, Wei Hu, Shuaigang Xiao, Henry Yang, HongYing Wang, Rene Johannes Marinus van de Veerdonk, David Kuo
  • Patent number: 9594029
    Abstract: In the measurement of properties of a wafer substrate, such as Critical Dimension or overlay a sampling plan is produced (2506) defined for measuring a property of a substrate, wherein the sampling plan comprises a plurality of sub-sampling plans. The sampling plan may be constrained to a predetermined fixed number of measurement points and is used (2508) to control an inspection apparatus to perform a plurality of measurements of the property of a plurality of substrates using different sub-sampling plans for respective substrates, optionally, the results are stacked (2510) to at least partially recompose the measurement results according to the sample plan.
    Type: Grant
    Filed: November 22, 2012
    Date of Patent: March 14, 2017
    Assignee: ASML Netherlands B.V.
    Inventors: Wouter Lodewijk Elings, Franciscus Bernardus Maria Van Bilsen, Christianus Gerardus Maria De Mol, Everhardus Cornelis Mos, Hoite Pieter Theodoor Tolsma, Peter Ten Berge, Paul Jacques Van Wijnen, Leonardus Henricus Marie Verstappen, Gerald Dicker, Reiner Maria Jungblut, Li Chung-Hsun
  • Patent number: 9588059
    Abstract: A method for analyzing quality of a glazing including: generating at least one digital image of a test chart produced in reflection by an external surface of the glazing on an outside of the glazing; computation by at least one processing unit of quantities representative of the quality of the glazing based on the at least one image generated; and comparing values computed for the representative quantities with respect to reference values. The test chart exhibits a pattern including elements of closed contours arranged periodically. The representative quantities are representative of a deformation of the image of the test chart produced in reflection by the external surface of the glazing on the outside of the glazing, and the computation of a representative quantity includes the computation of a density of the elements.
    Type: Grant
    Filed: April 6, 2012
    Date of Patent: March 7, 2017
    Assignee: SAINT-GOBAIN GLASS FRANCE
    Inventor: Simon Le Moal
  • Patent number: 9575093
    Abstract: A system for testing MEMS-structures includes a microforce sensor, two or more multi-axis micropositioning units, at least one electrical probe and a sample holder on which a MEMS-structure is mounted. At least one of the multi-axis micropositioning units is motorized and at least one additional micropositioning unit is equipped with at least one electrical probe to apply electrical signals or to measure electrical signals at one or multiple locations on the MEMS structure. The system with the aforementioned components allows a combined electrical and probe-based mechanical testing of MEMS-structures.
    Type: Grant
    Filed: October 17, 2014
    Date of Patent: February 21, 2017
    Assignee: Femtotools AG
    Inventors: Felix Beyeler, Christoph Bolliger, Daniel Frost, David Beyeler, Simon Muntwyler
  • Patent number: 9563939
    Abstract: A de-noising method for remote images of ground buildings using spectrum constraints. The method includes: 1) obtaining a reference image of ground buildings from a remote image database of the ground buildings, performing a Fourier transformation on the reference image to obtain an amplitude spectrum, and performing a threshold segmentation, an erosion operation and a dilation operation successively on the amplitude spectrum to obtain a binary template of spectrum of the ground buildings; and 2) obtaining a real-time image of the ground buildings by a high-speed aircraft, performing a Fourier transformation on the real-time image to obtain a spectrum, filtering the spectrum of the real-time image in frequency domain by the binary template of spectrum of the ground buildings, and performing an inverse Fourier transformation thereon to generate a filtered real-time image of the ground buildings.
    Type: Grant
    Filed: December 9, 2015
    Date of Patent: February 7, 2017
    Assignee: HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY
    Inventors: Tianxu Zhang, Zheng Wang, Li He, Li Liu, Xuan Hou, Chuan Zhang, Sufei Fan, Yimeng Chen
  • Patent number: 9557637
    Abstract: A method of designing patterns of semiconductor devices includes forming a plurality of tiles having patterns on a wafer, measuring the patterns of the plurality of tiles, analyzing the measurements of the patterns and determining a tile having such a size that the measurements linearly vary according to a design size and pattern density, and modifying the pattern density of the determined tile.
    Type: Grant
    Filed: August 1, 2014
    Date of Patent: January 31, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Joong-Won Jeon, Ji-Youn Song, Mun-Su Shin, Seong-Yul Park, Suk-Joo Lee
  • Patent number: 9541602
    Abstract: An electronic component inspection apparatus includes a light source arranged in a mounting area where at least one electronic component is mounted to a board and a light-receiving sensor arranged outside the mounting area to detect an intensity of a light received from the light source. A computer executes a program to perform a process of determining a state of joining parts in the mounting area based on a result of comparison of the intensity of the light received by the light-receiving sensor with an intensity of distribution previously acquired.
    Type: Grant
    Filed: August 22, 2014
    Date of Patent: January 10, 2017
    Assignee: FUJITSU LIMITED
    Inventors: Hiroshi Kurosawa, Masayuki Itoh, Kiyokazu Moriizumi
  • Patent number: 9536298
    Abstract: Method for detecting a surface flaw of an object using an electronic device includes requesting a detection device to control a camera unit to capture a current image of a test object placed on the detection device. The current image includes a sidewall image and a reflected image. The method obtains the current image, and detects whether the sidewall image has a surface flaw. When the sidewall image has a surface flaw, a rotation angle of the test object is determined based on the reflected image. The method obtains a standard sidewall image of a standard object stored in a storage device of the electronic device, based on the rotation angle, compares the sidewall image with the standard sidewall image, and determines and displays a position of the surface flaw on a sidewall of the test object based on the comparison.
    Type: Grant
    Filed: July 18, 2014
    Date of Patent: January 3, 2017
    Assignee: Shenzhen Airdrawing Technology Service Co., Ltd
    Inventors: Hou-Hsien Lee, Chang-Jung Lee, Chih-Ping Lo
  • Patent number: 9530199
    Abstract: A method for determining overlay between layers of a multilayer structure may include obtaining a given image representing the multilayer structure, obtaining expected images for layers of the multilayer structure, providing a combined expected image of the multilayer structure as a combination of the expected images of said layers, performing registration of the given image against the combined expected image, and providing segmentation of the given image, thereby producing a segmented image, and maps of the layers of said multilayered structure. The method may further include determining overlay between any two selected layers of the multilayer structure by processing the maps of the two selected layers together with the expected images of said two selected layers.
    Type: Grant
    Filed: July 13, 2015
    Date of Patent: December 27, 2016
    Assignee: Applied Materials Israel Ltd
    Inventors: Yakov Weinberg, Ishai Schwarzband, Roman Kris, Itay Zauer, Ran Goldman, Olga Novak, Dhananjay Singh Rathore, Ofer Adan, Shimon Levi
  • Patent number: 9529270
    Abstract: A lithography apparatus includes: a shield including a shield member having an aperture formed therein and having a first edge and a second edge defining the aperture; a driving mechanism including a rotation mechanism configured to rotate the shield member and a translation mechanism configured to translate the shield member; and a controller configured to control the driving mechanism so as to sequentially perform patterning.
    Type: Grant
    Filed: August 6, 2014
    Date of Patent: December 27, 2016
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Kenichiro Mori
  • Patent number: 9507232
    Abstract: Portable apparatus for identifying and mitigating defects in electronic devices disposed on substrates or windows wherein such defects can be visually perceived by the end user and wherein the substrates or windows may include flat panel displays, photovoltaic windows, electrochromic devices, and the like, particularly electrochromic windows.
    Type: Grant
    Filed: September 11, 2012
    Date of Patent: November 29, 2016
    Assignee: View, Inc.
    Inventors: Robert T. Rozbicki, Bruce Baxter
  • Patent number: 9464992
    Abstract: An automated defect inspection system has been invented and is used on patterned wafers, whole wafers, broken wafers, partial wafers, sawn wafers such as on film frames, JEDEC trays, Auer boats, die in gel or waffle packs, MCMs, etc., and is specifically intended and designed for second optical wafer inspection for such defects as metalization defects (such as scratches, voids, corrosion, and bridging), diffusion defects, passivation layer defects, scribing defects, glassivation defects, chips and cracks from sawing, solder bump defects, and bond pad area defects.
    Type: Grant
    Filed: April 7, 2016
    Date of Patent: October 11, 2016
    Assignee: Rudolph Technologies, Inc.
    Inventors: Jeffrey L. O'Dell, Thomas Verburgt, Mark Harless, Cory Watkins
  • Patent number: 9465383
    Abstract: Disclosed is an apparatus for handling electronic components. The apparatus comprises: i) a rotary device and a plurality of pick heads arranged circumferentially around the rotary device, each pick head being operable to hold an electronic component; ii) a position-determining device for determining an arrangement of the electronic components as held by the respective pick heads; iii) a fiducial mark arranged in a position that is indicative of the arrangement of the electronic components, as determined by the position-determining device; iv) a first imaging device arranged relative to the fiducial mark; and v) at least one handling device for handling the electronic components.
    Type: Grant
    Filed: June 23, 2014
    Date of Patent: October 11, 2016
    Assignee: ASM TECHNOLOGY SINGAPORE PTE LTD
    Inventors: Hing Suen Siu, Yu Sze Cheung, Chi Wah Cheng, Chung Yan Lau
  • Patent number: 9449220
    Abstract: Systems and methods for cookware detection are provided. One example system includes a vision sensor positioned so as to collect a plurality of images of a cooktop. The system includes a classifier module implemented by one or more processors. The classifier module is configured to calculate a cookware score for each of the plurality of images and to use the cookware score for each of the plurality of images to classify such image as either depicting cookware or not depicting cookware. The system includes a classifier training module implemented by the one or more processors. The classifier training module is configured to train the classifier module based at least in part on a positive image training dataset and a negative image training dataset.
    Type: Grant
    Filed: April 21, 2014
    Date of Patent: September 20, 2016
    Assignee: Haier US Appliance Solutions, Inc.
    Inventor: Li Guan
  • Patent number: 9442482
    Abstract: Systems, machines, and methods for monitoring wafer handling are disclosed herein. A system for monitoring wafer handling includes a sensor and a controller. The sensor is capable of being secured to an assembled wafer handling machine. The controller is in electronic communication with the sensor and includes control logic. The control logic is configured to store a reference output of the sensor when the wafer handling machine is aligned and is configured to generate an indication signal when a difference between the reference output and a current output of the sensor exceeds a threshold.
    Type: Grant
    Filed: April 29, 2013
    Date of Patent: September 13, 2016
    Assignee: GLOBALFOUNDRIES, INC.
    Inventors: Stephen Bradley Miner, William John Fosnight, Ryan Gallagher
  • Patent number: 9442077
    Abstract: Methods and systems for filtering scratches from wafer inspection results are provided. One method includes generating a defect candidate map that includes image data for potential defect candidates as a function of position on the wafer and removing noise from the defect candidate map to generate a filtered defect candidate map. The method also includes determining one or more characteristics of the potential defect candidates based on portions of the filtered defect candidate map corresponding to the potential defect candidates. In addition, the method includes determining if each of the potential defect candidates are scratches based on the one or more characteristics determined for each of the potential defect candidates and separating the potential defect candidates determined to be the scratches from other defects in inspection results for the wafer.
    Type: Grant
    Filed: August 25, 2014
    Date of Patent: September 13, 2016
    Assignee: KLA-Tencor Corp.
    Inventors: Junqing Huang, Huan Jin, Grace Hsiu-Ling Chen, Lisheng Gao
  • Patent number: 9438754
    Abstract: Information is collected by acquiring imaging data of a plurality of objects using an imaging tool; creating a three-dimensional representation of the plurality of objects based on the imaging data; and extracting RFID data stored in an RFID tag using an RFID reader. The RFID tag has a reference to a three-dimensional model. The three-dimensional model is created before acquiring the imaging data of the plurality of objects. And the three-dimensional model is a representation of at least one object of the plurality of objects.
    Type: Grant
    Filed: April 20, 2015
    Date of Patent: September 6, 2016
    Assignee: Trimble Navigation Limited
    Inventors: Bernd Schoner, Brian Ahern
  • Patent number: 9436731
    Abstract: Systems, methods, and other embodiments associated with index-based optimization of geometric figured-related queries are described. In one embodiment, a method includes receiving two points selected from a corpus of spatial data. A hierarchical index on the data is accessed to choose candidate nodes. The index is a hierarchical arrangement of nodes arranged in paths from root node entries to leaf node entries such that each node is contained in all nodes in a path leading to the node. The method includes determining a spatial relationship between the two points and the candidate nodes in the index. The candidate nodes are a proper subset of the nodes in the index, such that the spatial relationship is not determined between the two points and some non-candidate nodes. A candidate node is selected based on the determined angles for processing related to construction of a geometric figure describing the spatial data.
    Type: Grant
    Filed: March 7, 2014
    Date of Patent: September 6, 2016
    Assignee: Oracle International Corporation
    Inventors: Ying Hu, Siva Ravada, Richard James Anderson, Jr.
  • Patent number: 9430606
    Abstract: Defect characterization and failure analysis are useful tools for analyzing and improving fabrication for semiconductor chips. By using a layout and a netlist in combination with images of semiconductors, defects can be identified and analyzed. Electrical simulation can be performed on the netlist, based on the presence of the defect that was detected. Layout geometries where the defect was detected can be binned, and a search can be performed of the remainder of the layout for similar groupings of layout geometries. Various representations of the semiconductor can be cross-mapped, including layout, schematic, and netlist. The presence of certain defects can be correlated to yield, to performance, and to other characteristics.
    Type: Grant
    Filed: July 7, 2014
    Date of Patent: August 30, 2016
    Assignee: Synopsys, Inc.
    Inventor: Ankush Oberai
  • Patent number: 9424634
    Abstract: A machine vision system for automatically identifying and inspecting objects is disclosed, including composable vision-based recognition modules and a decision algorithm to perform the final determination on object type and quality. This vision system has been used to develop a Projectile Identification System and an Automated Tactical Ammunition Classification System. The technology can be used to create numerous other inspection and automated identification systems.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: August 23, 2016
    Assignee: Cybernet Systems Corporation
    Inventors: Glenn J. Beach, Gary Moody, James Burkowski, Charles J. Jacobus
  • Patent number: 9390492
    Abstract: The present invention may include acquiring a plurality of reference measurement images from a plurality of reference overlay target sites of a wafer via a reference image sampling process, wherein the reference image sampling process includes acquiring one or more images at each of a plurality of reference overlay target sites of the at least one wafer, generating a reference image by combining the plurality of reference measurement images acquired from the plurality of reference overlay target sites of the wafer of the reference image sampling process; acquiring one or more measurement images from an overlay target site of the wafer via a measurement image sampling process and measuring a virtual overlay of the one or more measurement images by comparing the one or more measurement images acquired from the overlay target site of the wafer to the generated reference image.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: July 12, 2016
    Assignee: KLA-Tencor Corporation
    Inventor: Efrat Rotem
  • Patent number: 9361682
    Abstract: A pick-and-place machine and method includes use of a passive component feeder cartridge including a feeder gear. Rotation of the feeder gear causes a component-bearing tape to be fed through the feeder cartridge. A pickup head includes a vacuum nozzle to pick up the components from the tape and a rack gear to engage and drive the feeder gear of the feeder cartridge via translational motion of the pickup head when operatively disposed with respect to a selected feeder cartridge.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: June 7, 2016
    Inventor: John S. Youngquist
  • Patent number: 9341835
    Abstract: The virtual telemicroscope system (VTS) of the present invention captures an entire image of a specimen on a test slide as an original high power image, signal processes the original high power image to generate a low power background image, generates an initial virtual slide based on the low power background image and posts and/or transmits the initial virtual slide to a remote user enabling the remote user to view the low power background image on a remote user's computer screen. While viewing the initial virtual slide, the remote user may identify (i.e., select) one or more specific areas on the background image and request the service provider to provide detailed images (e.g., higher magnified image than the background image) at the defined locations. In response, the VTS provides the remote user with the requested partial high power image by simply signal processing the original high power image and generating a secondary virtual slide based on the newly processed image.
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: May 17, 2016
    Assignee: The Research Foundation of State University of New York
    Inventors: Jiang Gu, Virginia M. Anderson
  • Patent number: 9292933
    Abstract: The present invention provides a system and method for simultaneous variational and adaptive segmentation of single non-overlapping and multiple overlapping/occluded-objects in an image scene. The method according to the present invention synergistically combines shape priors with boundary and region-based active contours in a level set formulation with a watershed scheme for model initialization for identifying and resolving multiple object overlaps in an image scene. The method according to the present invention comprises learning a shape prior for a target object of interest and applying the shape prior into a hybrid active contour model to segment overlapping and non-overlapping objects simultaneously.
    Type: Grant
    Filed: January 10, 2012
    Date of Patent: March 22, 2016
    Inventors: Anant Madabhushi, Sahirzeehan Ali
  • Patent number: 9292914
    Abstract: A device and method for measuring a critical dimension of a pattern on a display substrate is disclosed. In one aspect, the device includes a region of interest (ROI) setting unit setting a region of interest in image data, determining whether the region of interest is larger than a reference region, and generating a pattern image based on the region of interest. The device also includes a design file memory storing a plurality of design patterns, a matching unit matching the pattern image to one of design patterns, and a measurement unit measuring the critical dimension of the pattern in the pattern image. The ROI setting unit selects the image data as the pattern image and outputs the pattern image to the matching unit when the region of interest is larger than the reference region.
    Type: Grant
    Filed: March 12, 2014
    Date of Patent: March 22, 2016
    Assignee: Samsung Display Co., Ltd.
    Inventors: Young Suk Lee, Yong Jun Park, Jong Soo Lee
  • Patent number: 9244946
    Abstract: Embodiments of the disclosure include a method for data mining shape based data, the method includes receiving shape data for each of a plurality of data entries and creating a first abstract from the shape data for each of the plurality of data entries. The method also includes organizing the first abstracts into a plurality of groups based on a criterion and creating a second abstract for each data entry in the plurality of groups based on the criterion and information derived from the first abstract.
    Type: Grant
    Filed: November 26, 2012
    Date of Patent: January 26, 2016
    Assignee: International Business Machines Corporation
    Inventors: Maroun Kassab, Leah M. Pastel, Adam E. Trojanowski
  • Patent number: 9235601
    Abstract: Embodiments of the disclosure include a method for data mining shape based data, the method includes receiving shape data for each of a plurality of data entries and creating a first abstract from the shape data for each of the plurality of data entries. The method also includes organizing the first abstracts into a plurality of groups based on a criterion and creating a second abstract for each data entry in the plurality of groups based on the criterion and information derived from the first abstract.
    Type: Grant
    Filed: February 15, 2013
    Date of Patent: January 12, 2016
    Assignee: International Business Machines Corporation
    Inventors: Maroun Kassab, Leah M. Pastel, Adam E. Trojanowski
  • Patent number: 9224195
    Abstract: Disclosed are methods and apparatus for inspecting a photolithographic reticle. A stream of defect data is received from a reticle inspection system, wherein the defect data identifies a plurality of defects that were detected for a plurality of different portions of the reticle. Before reviewing the defect data to determine whether the reticle passes inspection and as the stream of defect data continues to be received, some of the defects are automatically grouped with other most recently one or more received defects so as form groups of substantially matching defects. Before reviewing the defect data to determine whether the reticle passes inspection and after all of the defect data for the reticle is received, one or more of the groups of defects that have a number above a predetermined threshold are automatically filtered from the defect data so as to form filtered defect data. The filtered defect data may then be provided to a review station for determining whether the reticle passes.
    Type: Grant
    Filed: March 24, 2014
    Date of Patent: December 29, 2015
    Assignee: KLA-Tencor Corporation
    Inventors: Bing Li, Weimin Ma, Joseph M. Blecher
  • Patent number: 9201310
    Abstract: The overlay error of a target in a scribelane is measured. The overlay error of the required feature in the chip area may differ from this due to, for example, different responses to the exposure process. A model is used to simulate these differences and thus a more accurate measurement of the overlay error of the feature determined.
    Type: Grant
    Filed: July 27, 2009
    Date of Patent: December 1, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Marcus Adrianus Van De Kerkhof, Leonardus Henricus Marie Verstappen
  • Patent number: 9196031
    Abstract: An appearance inspection apparatus comprises an inspection part for detecting a defect of a pattern on the basis of an image of a surface of a substrate on which the pattern is formed, which is captured by an imaging part. The inspection part comprises an image transfer part for transferring image data which is obtained by imaging a region to be inspected on the substrate and stored in an image storing memory by the imaging part to a plurality of image processing memories and a plurality of GPUs for taking image data corresponding to respective regions to be processed out of transferred image data which are transferred to the image processing memories by the image transfer part and performing an inspection process for defect detection on the image data.
    Type: Grant
    Filed: January 3, 2013
    Date of Patent: November 24, 2015
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Yuichiro Hikida, Takeshi Saruwatari
  • Patent number: 9188554
    Abstract: Provided is a pattern inspection device for accurately simulating an electron beam image of a circuit pattern on a wafer from design data, and implementing high-precision defect detection based on the comparison between the simulated electron beam image and a real image. A pattern inspection device comprises: an image capturing unit for capturing an electron beam image of a pattern formed on a substrate; a simulated electron beam image generation unit for generating a simulated electron beam image using a parameter indicating the characteristics of the electron beam image on the basis of design data; and an inspection unit for comparing the electron beam image of the pattern, which is the image captured by the image capturing unit, and the simulated electron beam image generated by the simulated electron beam image generation unit, and inspecting the pattern on the substrate.
    Type: Grant
    Filed: May 22, 2013
    Date of Patent: November 17, 2015
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Chie Shishido, Shinya Murakami, Takashi Hiroi, Taku Ninomiya, Michio Nakano
  • Patent number: 9165843
    Abstract: A system and method of automatically detecting failure patterns for a semiconductor wafer process is provided. The method includes receiving a test data set collected from testing a plurality of semiconductor wafers, forming a respective wafer map for each of the wafers, determining whether each respective wafer map comprises one or more respective objects, selecting the wafer maps that are determined to comprise one or more respective objects, selecting one or more object indices for selecting a respective object in each respective selected wafer map, determining a plurality of object index values in each respective selected wafer map, selecting an object in each respective selected wafer map, determining a respective feature in each of the respective selected wafer, classifying a respective pattern for each of the respective selected wafer maps and using the respective wafer fingerprints to adjust one or more parameters of the semiconductor fabrication process.
    Type: Grant
    Filed: January 16, 2015
    Date of Patent: October 20, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jui-Long Chen, Hui-Yun Chao, Yen-Di Tsen, Jong-I Mou
  • Patent number: 9135694
    Abstract: A computer-implemented method of processing image data representing biological units in a tissue sample includes receiving a first image of the tissue sample containing signals from an immunofluorescent (IF) morphological marker, wherein the tissue sample is stained with the IF morphological marker, and receiving a second image of the same tissue sample containing signals from a fluorescent probe, wherein the tissue sample is hybridized in situ with the fluorescent probe. The method further includes classifying each biological unit in the tissue sample into one of at least two classes based on a mean intensity of the signals from the IF morphological marker in the first image, performing a fluorescence in situ hybridization (FISH) analysis of the tissue sample in the second image to obtain results therefrom, and filtering the results of the FISH analysis to produce a subset of the results pertaining to biological units classified in one class.
    Type: Grant
    Filed: December 4, 2012
    Date of Patent: September 15, 2015
    Assignee: General Electric Company
    Inventors: Antti Seppo, Yousef Al-Kofahi, Dirk R. Padfield
  • Patent number: 9123649
    Abstract: Various target configurations are disclosed. A target may include multiple lines spaced equally apart according to a pitch distance. The target may also include a first mark having at least one edge parallel to the lines, wherein the edge is configured to have at least one of: a periodically repetitive edge pattern having an amplitude that is a multiple of the pitch, a length that is a multiple of the pitch, or a thickness that is a multiple of the pitch. The target may further include a second mark having at least one edge parallel to the plurality of lines, wherein the edge is configured to have at least one of: a periodically repetitive edge pattern having a second amplitude that is a multiple of the pitch, a length that is a multiple of the pitch, or a thickness that is a multiple of the pitch.
    Type: Grant
    Filed: January 21, 2014
    Date of Patent: September 1, 2015
    Assignee: KLA-Tencor Corporation
    Inventors: Amnon Manassen, Barry Loevsky
  • Patent number: 9125336
    Abstract: A method of establishing a tip location of a terminal includes establishing a virtual tip line by measuring a height of a board, on which a component having a terminal and a body is mounted, and comparing the measured measurement height with a predetermined reference height, establishing a central line with respect to a width direction of the terminal along a longitudinal direction of the terminal, and establishing a tip location of the terminal by using the measurement height along the central line from an intersection point of the virtual tip line and the central line. Thus, a tip location of a terminal may be more correctly acquired.
    Type: Grant
    Filed: November 14, 2011
    Date of Patent: September 1, 2015
    Assignee: KOH YOUNG TECHNOLOGY INC.
    Inventor: Joong-Ki Jeong
  • Patent number: 9099524
    Abstract: A die bonder that eliminates the need for moving a recognition camera for capturing an image related to adhesive application and achieves high reliability with high throughput is disclosed. The die bonder includes a lead frame; a syringe located above the lead frame and enclosing therein a paste adhesive; a recognition camera lateral to the syringe; an illumination lamp disposed in the vicinity of the recognition camera; and a reflector plate disposed opposite the illumination lamp. The reflector plate is adapted to reflect light from the illumination lamp onto the lead frame at an application surface of the paste adhesive. The recognition camera, illumination lamp, and reflector plate are arranged in a manner that the reflector plate is disposed on ?Y side and the recognition camera and the illumination lamp are disposed on +Y side with respect to the syringe located above the lead frame transported in X direction.
    Type: Grant
    Filed: September 2, 2011
    Date of Patent: August 4, 2015
    Assignee: FASFORD TECHNOLOGY CO., LTD.
    Inventors: Yoshiaki Makita, Shingo Fukasawa
  • Patent number: 9098894
    Abstract: A method includes inspecting a wafer to find a first potential defect having a first wafer coordinate, and capturing a patch image of the first potential defect from the wafer. The patch image is compared with patterns of a wafer representation to find a first layout coordinate of a location in the wafer representation, wherein the location in the wafer representation corresponds to a location of the first potential defect in the wafer. A reference feature in the wafer representation is selected, wherein the reference feature in the wafer representation has a second layout coordinate. A coordinate difference between the first layout coordinate and the second layout coordinate is calculated. The coordinate difference is subtracted from the first wafer coordinate to calculate a second wafer coordinate of a reference feature in the wafer, wherein the reference feature in the wafer representation corresponds to the reference feature in the wafer.
    Type: Grant
    Filed: February 1, 2013
    Date of Patent: August 4, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Min-Sung Kuo, Chiun-Chieh Su, To-Yu Chen
  • Patent number: 9099173
    Abstract: An embodiment is a technique to classify a flash device. Test data to a flash device are accessed in unscramble and scramble modes under a test mode. Error correcting code (ECC) results are recorded on the test data for the unscramble and scramble modes. A device quality figure is calculated based on the ECC results for the unscramble and scramble modes. The flash device is classified using the device quality figure.
    Type: Grant
    Filed: December 14, 2012
    Date of Patent: August 4, 2015
    Assignee: VIRTIUM TECHNOLOGY, INC.
    Inventors: Jian Chen, Phan F. Hoang
  • Patent number: 9082049
    Abstract: A computer receives a first image of a plurality of lamps. The computer receives a second image of a plurality of lamps. The computer transforms the second image so a location depicted in a plurality of pixels of the first image is depicted in a corresponding plurality of pixels in the second image. The computer determines a brightness variation exists between a pixel of the plurality of pixels of the second image and a corresponding pixel of the plurality of pixels of the first image. The computer identifies a location corresponding to the pixel of the plurality of pixels of the second image where the brightness variation exists.
    Type: Grant
    Filed: November 27, 2012
    Date of Patent: July 14, 2015
    Assignee: International Business Machines Corporation
    Inventors: Mauro Arcese, Felice Mansi, Luigi Pichetti