Inspection Of Semiconductor Device Or Printed Circuit Board Patents (Class 382/145)
  • Patent number: 9060117
    Abstract: A method of automatically adjusting lighting conditions improves the results of points from focus (PFF) 3D reconstruction. Multiple lighting levels are automatically found based on brightness criteria and an image stack is taken at each lighting level. In some embodiments, the number of light levels and their respective light settings may be determined based on trial exposure images acquired at a single global focus height which is a best height for an entire region of interest, rather than the best focus height for just the darkest or brightest image pixels in a region of interest. The results of 3D reconstruction at each selected light level are combined using a Z-height quality metric. In one embodiment, the PFF data point Z-height value that is to be associated with an X-Y location is selected based on that PFF data point having the best corresponding Z-height quality metric value at that X-Y location.
    Type: Grant
    Filed: December 21, 2012
    Date of Patent: June 16, 2015
    Assignee: Mitutoyo Corporation
    Inventors: Robert Kamil Bryll, Shannon Roy Campbell
  • Publication number: 20150146967
    Abstract: The present invention relates to a setting method for an image capture area on the occasion of evaluation of a circuit pattern using a scanning charged particle microscope. A circuit pattern that is to be evaluated using an actual image or design data is determined, a plurality of image capture areas are set such that the circuit pattern to be evaluated is included in a section of the field of vision, and images are captured of the plurality of image capture areas. When setting the image capture areas, a permissible value for the distance between adjacent first and second images is set, and the positions of the image capture areas are optimized so as to correspond as closely as possible with the permissible value for distance. As a result, it is possible to improve the throughput of image capture of wide inspection areas that do not fit in the field of vision of the scanning charge particle microscope, and to efficiently carry out determination of an inspection area that may cause electrical failure.
    Type: Application
    Filed: April 24, 2013
    Publication date: May 28, 2015
    Inventors: Atsushi Miyamoto, Toshikazu Kawahara, Akihiro Onizawa, Yutaka Hojo
  • Publication number: 20150146966
    Abstract: A method of determining an average contour of a patterned feature on a wafer includes providing a reference contour corresponding to the patterned feature on the wafer, providing a plurality of images of the patterned feature, extracting from the plurality of images a plurality of extracted contours that represent the patterned feature, eliminating flyers from the plurality of extracted contours, and generating the average contour of the patterned feature based on the extracted contours remaining after elimination of the flyers.
    Type: Application
    Filed: November 25, 2013
    Publication date: May 28, 2015
    Applicant: GLOBALFOUNDRIES, Inc.
    Inventor: Francois Weisbuch
  • Patent number: 9036895
    Abstract: A method of inspecting a wafer includes performing a fabricating process on a wafer, irradiating broadband light on the wafer, such that the light is reflected from the wafer, generating a spectral cube by using the light reflected from the wafer, extracting a spectrum of a desired wafer inspection region from the spectral cube, and inspecting the desired wafer inspection region by analyzing the extracted spectrum.
    Type: Grant
    Filed: March 5, 2013
    Date of Patent: May 19, 2015
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Young-hoon Sohn, Yu-sin Yang, Sang-kil Lee
  • Patent number: 9036896
    Abstract: A method and system for imaging an object to be inspected and obtaining an optical image; creating a reference image from design pattern data; preparing an inspection recipe including one or more templates and parameter settings necessary for the inspection; checking the pattern and the template against each other, and selecting the reference image which corresponds to the template; detecting first and second edges in the selected reference image in accordance with the parameter setting using determined coordinates as a reference; detecting first and second edges in the optical image, this optical image corresponds to the selected reference image; and determining an inspection value by acquiring the difference between the line width of the optical image and the reference image using the first edge and second edge of the reference image and the first edge and second edges of the optical image.
    Type: Grant
    Filed: April 8, 2011
    Date of Patent: May 19, 2015
    Assignees: NuFlare Technology, Inc., Kabushiki Kaisha Toshiba
    Inventors: Takanao Touya, Shuichi Tamamushi, Hidenori Sato, Hiroyuki Tanizaki, Takeshi Fujiwara, Eiji Sawa, Kentaro Okuda, Hiroyuki Ikeda, Hiromu Inoue, Hiroshi Tsukada
  • Publication number: 20150131893
    Abstract: A moving wafer imaging system processes wafer images to remove motion and focus blur by performing a blind deconvolution to determine an approximate point spread function. The approximate point spread function, estimated image noise and a Gaussian point spread function are used to compute a weighted point spread function. The weighted point spread function is used to filter out motion focus blur. Noise is then removed with a low-pass filter.
    Type: Application
    Filed: November 10, 2014
    Publication date: May 14, 2015
    Inventor: Ram Sivaraman
  • Patent number: 9031810
    Abstract: A system and method for enhanced and expanded localized geometry characterization. Objects of interest are enhanced, detected, and classified according to user-defined parameters, and this enables enhanced contrast and more accurate feature detection, as well as more accurately defined feature object regions for feature geometry measurement and characterization.
    Type: Grant
    Filed: June 27, 2011
    Date of Patent: May 12, 2015
    Inventors: Haiguang Chen, Jaydeep K. Sinha, Sergey Kamensky
  • Publication number: 20150110383
    Abstract: Inventive concepts provide a method of inspecting a semiconductor device including obtaining inspection image data of an inspection pattern of an inspection layer on a substrate. The method may include extracting inspection contour data including an inspection pattern contour from the inspection image data, and merging the inspection contour data with comparison contour data of a comparison layer to obtain merged data. The comparison layer may overlap the inspection layer. The method may also include determining a horizontal distance between the inspection pattern contour and a comparison pattern contour of the comparison contour data based on the merged data.
    Type: Application
    Filed: July 25, 2014
    Publication date: April 23, 2015
    Inventors: Kiho YANG, Seunghune YANG, Sibo CAI
  • Patent number: 9002097
    Abstract: This invention relates to methods and systems for enhance the signal-to-noise ratio of an image scanned by a charged particle beam. In an embodiment, a sequence of grayscales of a pixel is recorded first, extreme values of the sequence of grayscales are then identified and removed, and the remained grayscales are used to determine a nominated grayscale of the pixel.
    Type: Grant
    Filed: February 26, 2013
    Date of Patent: April 7, 2015
    Assignee: Hermes Microvision Inc.
    Inventors: Chiyan Kuan, Joe Wang, Van-Duc Nguyen
  • Patent number: 8995746
    Abstract: An inspection system comprises a beam generator module for deflecting spots across scan portions of a specimen. The system also includes detection channels for sensing light emanating from a specimen in response to an incident beam directed towards such specimen and generating a detected image for each scan portion. The system comprises a synchronization system comprising clock generator modules for generating timing signals for deflectors of the beam generator module to scan the spots across the scan portions at a specified frequency and each of the detection channels to generate the corresponding detected image at a specified sampling rate. The timing signals are generated based on a common system clock and cause the deflectors to scan the spots and the detection channels to generate a detected image at a synchronized timing so as to minimize jitter between the scan portions in the response image.
    Type: Grant
    Filed: May 21, 2013
    Date of Patent: March 31, 2015
    Assignee: KLA—Tencor Corporation
    Inventors: Kai Cao, Dennis G. Emge, Zhiqin Wang, Jamie M. Sullivan, Wenjian Cai, Henrik Nielsen
  • Patent number: 8994815
    Abstract: The present invention is intended to provide a contour extraction method and a contour extraction device with an objective of either suppression of unnecessary contouring processings or selective contouring of necessary portions. To attain the objective, provided are a contour extraction method, and a device, with which contours of pattern edges on an image formed based on charged particles emitted from a sample are extracted and, when contouring of a pattern located in an overlapping region provided in connecting images of plural image-capturing regions to form a synthesized image is performed, either areas of the pattern in the plurality of image-capturing regions, or a pre-set measurement portion is found, and selective contour extraction of the pattern with respect to an image of an image-capturing region is carried out either on a side where the area is large, or on a side where a measurement portion regarding the pattern is located.
    Type: Grant
    Filed: January 20, 2011
    Date of Patent: March 31, 2015
    Assignee: Hitachi High—Technologies Corporation
    Inventors: Hiroaki Mito, Ryoichi Matsuoka
  • Patent number: 8995745
    Abstract: A device for imaging and processing a workpiece having nanometric features through the use of at least one charged particle beam, by both fully automated procedures and manual assistance procedures. The device includes a user interface, including a schedule input entry device and a human operator ready input that can be placed in a first state or a second state and a procedure scheduler, accepting a schedule of procedures, including fully automated procedures and manual assistance procedures, from the schedule input entry device. Additionally, a procedure sequencer that, when the human operator ready input is in the second state, sequences through fully automated procedures until the human operator ready input is placed into the first state, at which time the sequencer begins sequencing the manual assistance procedures, after reaching a safe termination point for the fully automated procedures being performed.
    Type: Grant
    Filed: July 31, 2012
    Date of Patent: March 31, 2015
    Assignee: FEI Company
    Inventors: Richard J. Young, Ryan Tanner, Reinier Louis Warschauer
  • Patent number: 8989478
    Abstract: A system for providing visualization of semiconductor wafer inspection data acquired during in a photovoltaic cell production process includes a display device, a user interface device, and a computer control system configured for: receiving one or more inspection data sets acquired from each of a plurality of semiconductor wafers using a plurality of wafer process tools of a photovoltaic cell production line; generating an aggregated hierarchical wafer data gallery utilizing the received one or more inspection data sets; and displaying at least a portion of the aggregated hierarchical wafer data gallery in the gallery display area of the display device.
    Type: Grant
    Filed: July 26, 2012
    Date of Patent: March 24, 2015
    Assignee: KLA-Tencor Corporation
    Inventor: Robert J. Salter
  • Patent number: 8989477
    Abstract: Provide is a process monitoring device in a semiconductor manufacturing apparatus that can readily and reliably monitor the process in the semiconductor manufacturing apparatus. The process monitoring device includes a storage unit that stores a normal state moving image data indicating a normal state of the process; an image capturing unit that captures an image of a state of the process to be monitored to acquire a moving image data; an abnormality level calculation unit configured to extract a feature amount for each frame of the moving image data and the normal state moving image data, and calculate an abnormality level based on the extracted feature amount; and a display unit that displays the abnormality level calculated by the abnormality level calculation unit in association with a frame position of the moving image data.
    Type: Grant
    Filed: March 4, 2013
    Date of Patent: March 24, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Yasutoshi Umehara, Motoi Okada, Shuji Iwanaga
  • Patent number: 8977988
    Abstract: A method of optical proximity correction executed by a computer system and integrated circuit layout formed by the same, the step of optical proximity correction comprises: providing an integrated circuit layout with a plurality of parallel line patterns, wherein one side of at least one line pattern is provided with a convex portion; and modifying the integrated circuit layout by forming a concave portion corresponding to the convex portion at the other side of the line pattern.
    Type: Grant
    Filed: April 9, 2013
    Date of Patent: March 10, 2015
    Assignee: United Microelectronics Corp.
    Inventors: Kuan-Wen Fang, Chin-Lung Lin, Kuo-Chang Tien, Yi-Hsiu Lee, Chien-Hsiung Wang
  • Patent number: 8977034
    Abstract: An image of the joint portion of circuit patterns manufactured using a design pattern for double patterning is read out. Target boundary lines and evaluation regions are set on the image. In the evaluation regions, image processing is performed along the directions of the target boundary lines. Furthermore, binarization processing is performed. A decision is made based on an image obtained in this way as to whether the patterns have defects.
    Type: Grant
    Filed: June 29, 2010
    Date of Patent: March 10, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yasutaka Toyoda, Ryoichi Matsuoka
  • Patent number: 8977045
    Abstract: A recognition method includes: retrieving a component image from an image source; utilizing SVD (singular value decomposition) to decompose the component image; and utilizing the decomposed component image for image recognition. In an embodiment, the recognition method further includes: utilizing a light compensation method to calculate at least one light compensation coefficient; utilizing the light compensation coefficient to process the component image to obtain a light-compensated component image; and utilizing the light-compensated component image for image recognition.
    Type: Grant
    Filed: July 14, 2012
    Date of Patent: March 10, 2015
    Assignee: National Kaohsiung University of Applied Sciences
    Inventor: Jing-Wein Wang
  • Publication number: 20150056365
    Abstract: A inspection method for a solution discharge device includes a discharge step, a imaging step, an acquisition step, and a judgement step of identifying a main drop among deposits found to have a deposit surface area falling within a deposit surface area standard for each imaging area. An upper bound and a lower bound of the deposit surface area standard are determined according to timing of imaging in the imaging step, such that the values applied to deposits in an imaging area imaged at a second imaging time that is later than a first imaging time are smaller than those applied to deposits in an imaging area imaged at the first imaging time.
    Type: Application
    Filed: June 19, 2013
    Publication date: February 26, 2015
    Applicant: PANASONIC CORPORATION
    Inventor: Takayuki Miyoshi
  • Patent number: 8965100
    Abstract: A first simulated inspection is conducted to provide a first waveform data set associated with the at least one irregularity parameter. The first simulated inspection is conducted using a first evaluation setting. A first image is produced based on the first waveform set, and it is determined whether a quality of the first image satisfies a predetermined threshold.
    Type: Grant
    Filed: January 20, 2012
    Date of Patent: February 24, 2015
    Assignee: The Boeing Company
    Inventors: John Z. Lin, Hong Tat, Richard H. Bossi
  • Publication number: 20150043802
    Abstract: Analyzing a strain distribution in a semiconductor structure. One embodiment includes a method including: determining a crystallographic orientation of a portion of the semiconductor structure depicted in a diffraction pattern image, identifying a first and a second diffraction spot in the diffraction pattern image, and detecting an anticipated location of each of a plurality of diffraction spots, based on the first and second diffraction spot, and the determining of the crystallographic orientation. The method includes forming perimeter tiles around the first and the second diffraction spot, and the anticipated location of each of the plurality of diffraction spots, and storing each of the formed perimeter tiles of the diffraction pattern image. Finally the method includes determining the strain distribution in the semiconductor structure based on an actual location of the first and the second diffraction spot, and each of the plurality of diffraction spots within the perimeter tile.
    Type: Application
    Filed: August 6, 2013
    Publication date: February 12, 2015
    Applicant: International Business Machines Corporation
    Inventor: Frieder H. Baumann
  • Patent number: 8953894
    Abstract: A pattern matching method for a scanning electron microscope comprises a step of performing pattern matching of only an upper layer pattern between an image (101) in which a pattern consisting of plural layers is represented and a template (104) in which the upper layer pattern of the plural layer pattern is selectively represented, thereby identifying the position of the pattern consisting of the plural layers. Then, information about the upper layer pattern is subtracted from the image (101), thus extracting shape information (108) about the lower layer pattern. Consequently, stable positioning or selective information extraction on a certain layer is enabled regardless of the state of the depths of a pattern formed in three dimensions or of the charge state of a sample.
    Type: Grant
    Filed: October 2, 2009
    Date of Patent: February 10, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yoshimichi Sato, Mitsuji Ikeda, Fumihiro Sasajima
  • Patent number: 8947521
    Abstract: The invention may be embodied in a time delay integration (TDI) based sensor wafer inspection system that introduces controlled blur into the sampled image to suppress high spectral frequencies and thereby mitigate the occurrence of aliasing in the sampled image. Image blur may be introduced in the scan direction by desynchronizing the image motion (scan rate) from the charge transfer rate within the TDI sensor (sample clock rate). The scan rate may be desynchronized from the TDI sample clock rate by altering the speed of wafer movement, the sample clock rate, or the magnification of the imaging optics. Image blur may be introduced in the cross-scan direction by imparting a small alignment difference between the direction of image motion (image scan direction) and the direction that charges transfer within the TDI sensor (sensor direction).
    Type: Grant
    Filed: August 8, 2012
    Date of Patent: February 3, 2015
    Assignee: KLA-Tencor Corporation
    Inventors: Andrew V. Hill, David W. Shortt
  • Patent number: 8946631
    Abstract: A substrate is irradiated by primary electrons and secondary electrons generated from the substrate are detected by a detector. A reference die is placed on the stage to obtain a pattern matching template image including feature coordinates of the reference die. A pattern matching is performed with an arbitrary die in a row or column including the reference die using the template image to obtain feature coordinates of the arbitrary die. An angle of misalignment is calculated between the direction of the row or column including the reference die and one of the directions of movement of the substrate on the basis of the feature coordinates of the arbitrary die and those of the reference die. The stage is rotated to correct the angle of misalignment to conform the direction of the row or column including the reference die with the one of the directions of movement of the substrate.
    Type: Grant
    Filed: January 15, 2014
    Date of Patent: February 3, 2015
    Assignee: Ebara Corporation
    Inventors: Nobuharu Noji, Tohru Satake, Hirosi Sobukawa, Toshifumi Kimba, Masahiro Hatakeyama, Shoji Yoshikawa, Takeshi Murakami, Kenji Watanabe, Tsutomu Karimata, Kenichi Suematsu, Yutaka Tabe, Ryo Tajima, Keiichi Tohyama
  • Patent number: 8948493
    Abstract: A method for recognizing an object from two original images, includes the steps of accessing the two original images, reducing resolutions of the two original images so as to generate two resolution-reduced images, respectively, calculating a plurality of shift amounts, each of which is between two corresponding pixels in pixel blocks that have similar content and that are respectively in the two resolution-reduced images and generating a low-level depth image based on the shift amounts, determining an object area of the low-level depth image containing the object therein, and obtaining a sub-image, from one of the original images, corresponding to the object area of the low-level depth image, thereby recognizing the object based on the sub-image.
    Type: Grant
    Filed: March 10, 2013
    Date of Patent: February 3, 2015
    Assignee: Wistron Corporation
    Inventors: Chia-Te Chou, Shou-Te Wei, Chih-Hsuan Lee
  • Patent number: 8948494
    Abstract: Methods and systems for generating unbiased wafer defect samples are provided. One method includes selecting the defects detected by each of multiple scans performed on a wafer that have the most diversity in one or more defect attributes such that a diverse set of defects are selected across each scan. In addition, the method may include selecting the defects such that any defect that is selected and is common to two or more of the scans is not selected twice and any defects that are selected are diverse with respect to the common, selected defect. Furthermore, no sampling, binning, or classifying of the defects may be performed prior to selection of the defects such that the sampled defects are unbiased by any sampling, binning, or classifying method.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: February 3, 2015
    Assignee: KLA-Tencor Corp.
    Inventors: Martin Plihal, Vidyasagar Anantha, Saravanan Paramasivam, Chris W. Lee
  • Patent number: 8942464
    Abstract: The present invention provides a pattern measuring apparatus (600) that: acquires the image contour of a circuit pattern formed by transferring design data; classifies the acquired image contour into shape structures; calculates normal vectors for each shape structure; maps the shape structures to the image contour; uses at least one normal direction for each shape structure to stabilize the normal directions to the image contour; and uses the normal vectors for each shape structure to determine the position of a SEM contour.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: January 27, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takuma Shibahara, Tsuyoshi Minakawa, Michio Oikawa, Yutaka Hojo, Hitoshi Sugahara, Hiroyuki Shindo
  • Patent number: 8942463
    Abstract: A method for determining an image of a mask pattern in a resist coated on a substrate, the method including determining an aerial image of the mask pattern at substrate level; and convolving the aerial image with at least two orthogonal convolution kernels to determine a resist image that is representative of the mask pattern in the resist.
    Type: Grant
    Filed: March 25, 2014
    Date of Patent: January 27, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Yu Cao, Luoqi Chen, Antoine Jean Bruguier, Wenjin Shao
  • Publication number: 20150023584
    Abstract: Embodiments of the present invention provide systems and method for adaptively generating a pattern for fabricating semiconductor devices, the method comprising obtaining image data of a surface, and dynamically modifying a pattern to be applied to the surface based on the obtained image data.
    Type: Application
    Filed: April 20, 2012
    Publication date: January 22, 2015
    Inventor: John Christopher Rudin
  • Publication number: 20150023583
    Abstract: A method of determining a dose-to-clear of a photoresist on a wafer includes providing an image of the wafer after the photoresist was exposed to a dose of energy and was developed, transforming the image of the wafer into frequency spectrum data, calculating an average frequency spectrum component of the frequency spectrum data, calculating a difference between the average frequency spectrum component and a noise average frequency spectrum component of a noise average frequency spectrum, and determining a dose-to-clear of the photoresist based on the difference between the average frequency spectrum component and the noise average frequency spectrum component.
    Type: Application
    Filed: July 16, 2013
    Publication date: January 22, 2015
    Inventors: Lei Sun, Obert Reeves Wood, III
  • Patent number: 8934705
    Abstract: Methods are presented for improved detection of persistent or systematic defects induced during the manufacture of a product. In particular, the methods are directed to the detection of defects induced systematically in the manufacture of photovoltaic cells and modules. Images acquired from a number of samples are combined, enhancing the systematic defects and suppressing random features such as variations in material quality. Once a systematic defect is identified, steps can be taken to locate and rectify its cause.
    Type: Grant
    Filed: August 8, 2011
    Date of Patent: January 13, 2015
    Assignee: BT Imaging Pty Ltd
    Inventor: Ian Andrew Maxwell
  • Patent number: 8928485
    Abstract: The present invention relates to the acquisition of tilted series images of a minute sample in a short time. The present invention relates to: measuring in advance the relation between an amount of focus shift and a degree of coincidence at the time of acquiring tilted series images; calculating backwards a focus shift from the degree of coincidence on the basis of this relation; correcting the focus shift by controlling a stage, an objective lens, and the like; and thus acquiring the tilted series images. In addition, the present invention relates to: acquiring a reference image in advance at the time of photographing the tilted series images; obtaining the correlation between an acquired image and the reference image; and performing, if the degree of coincidence is equal to or smaller than a set value, processing such as the transmission of a warning message and the stop of an image acquisition sequence.
    Type: Grant
    Filed: June 15, 2009
    Date of Patent: January 6, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hideki Kikuchi, Isao Nagaoki, Katsuyuki Minakawa
  • Patent number: 8929665
    Abstract: Provided is a method of manufacturing a template matching template, as well as a device for manufacturing a template, by both of which high matching accuracy can be stably ensured without being affected by factors such as process variations. As an embodiment of the above, a method of manufacturing a template matching template, as well as a relevant device, is proposed by both of which a template memorized in advance and an image acquired by a microscope are compared, thereby identifying a desired position, and by which a plurality of images at the identified location are acquired by template matching, and the aforementioned plurality of images are added and averaged, thereby manufacturing a new template.
    Type: Grant
    Filed: May 21, 2010
    Date of Patent: January 6, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yukari Yamada, Kyoungmo Yang, Junichi Kakuta
  • Patent number: 8923600
    Abstract: Various methods and systems for utilizing design data in combination with inspection data are provided. One computer-implemented method for binning defects detected on a wafer includes comparing portions of design data proximate positions of the defects in design data space. The method also includes determining if the design data in the portions is at least similar based on results of the comparing step. In addition, the method includes binning the defects in groups such that the portions of the design data proximate the positions of the defects in each of the groups are at least similar. The method further includes storing results of the binning step in a storage medium.
    Type: Grant
    Filed: August 3, 2009
    Date of Patent: December 30, 2014
    Assignee: KLA-Tencor Technologies Corp.
    Inventors: Khurram Zafar, Sagar Kekare, Ellis Chang, Allen Park, Peter Rose
  • Patent number: 8923601
    Abstract: A method for inspecting overlay shift defect during semiconductor manufacturing is disclosed herein and includes a step for providing a charged particle microscopic image of a sample, a step for identifying an inspection pattern measure in the charged particle microscopic image, a step for averaging the charged particle microscopic image by using the inspection pattern measure to form an averaged inspection pattern measure, a step for estimating an average width from the averaged inspection pattern measure, and a step for comparing the average width with a predefined threshold value to determine the presence of the overlay shift defect.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: December 30, 2014
    Assignee: Hermes Microvision Inc.
    Inventors: Wei Fang, Hong Xiao, Jack Jau
  • Patent number: 8921733
    Abstract: Removing material from the surface of a first circuit comprises generating a first laser pulse using a pulse generator; targeting a spot on the first circuit using a focusing component; delivering the first laser pulse to the spot on the first circuit, the first circuit including a digital component; ablating material from the spot using the first laser pulse without changing a state of the digital component; testing performance of the first circuit, the testing being performed without reinitializing the circuit between the steps of ablating material and testing performance. Targeting the spot on the first circuit comprises generating a second laser pulse using a pulse generator; delivering a second laser pulse to a sacrificial piece of material; detecting the position of the ablation caused by the second laser pulse with a vision system that forms an image; and using this image to guide the first laser to the spot.
    Type: Grant
    Filed: April 13, 2012
    Date of Patent: December 30, 2014
    Assignee: Raydiance, Inc.
    Inventors: David Gaudiosi, Laurent Vaissie
  • Publication number: 20140376801
    Abstract: Methods and systems for detecting defects on a wafer are provided. One method includes creating a searchable database for a design for a wafer, which includes assigning values to different portions of the design based on patterns in the different portions of the design and storing the assigned values in the searchable database. Different portions of the design having substantially the same patterns are assigned the same values in the searchable database. The searchable database is configured such that searching of the database can be synchronized with generation of output for the wafer by one or more detectors of a wafer inspection system. Therefore, as the wafer is being scanned, design information for the output can be determined as fast as the output is generated, which enables multiple, desirable design based inspection capabilities.
    Type: Application
    Filed: June 13, 2014
    Publication date: December 25, 2014
    Inventors: Laurent Karsenti, Brian Duffy
  • Patent number: 8917900
    Abstract: In a measurement apparatus, higher-quality measurement is realized in measurement of measurement object displacement or imaging of a two-dimensional image. In a controller, a light receiving signal of a photodiode is supplied to a displacement measuring unit of a sensor head in order to measure a height of a measurement object, and the height of a surface of the measurement object is measured based on the light receiving signal. Then, in the controller, image obtaining timing is determined based on the height of the measurement object. Specifically, a focus adjustment value corresponding to the computed height of the measurement object is obtained from the table, and an image obtaining signal is transmitted to an imaging device at the timing the focus adjustment value is realized. Therefore, a length between two points on the measurement object is computed from the thus obtained image based on the height of the measurement object.
    Type: Grant
    Filed: February 25, 2010
    Date of Patent: December 23, 2014
    Assignee: Omron Corporation
    Inventors: Yoshihiro Kanetani, Takahiro Suga, Hiroaki Takimasa, Naoya Nakashita, Yusuke Iida
  • Patent number: 8917941
    Abstract: A method for measuring shapes in thick multi-planar reformatted (MPR) digital images, including identifying a shape in a digital MPR image, scan-converting points corresponding to the identified shape on a starting plane of an MPR slab in an image volume from which the MPR was obtained to generate a plurality of starting points for the identified shape, calculating an end point in the MPR slab corresponding to each starting point, propagating a ray from each starting point to each corresponding end point, accumulating samples along each ray, and computing a desired measurement value from the accumulated samples after reaching the end point for all rays.
    Type: Grant
    Filed: September 26, 2011
    Date of Patent: December 23, 2014
    Assignee: Siemens Aktiengesellschaft
    Inventor: Lining Yang
  • Patent number: 8913057
    Abstract: There is provided an information processing device includes a virtual space recognition unit for analyzing 3D space structure of a real space to recognize a virtual space, a storage unit for storing an object to be arranged in the virtual space, a display unit for displaying the object arranged in the virtual space, on a display device, a detection unit for detecting device information of the display device, and an execution unit for executing predetermined processing toward the object based on the device information.
    Type: Grant
    Filed: February 9, 2011
    Date of Patent: December 16, 2014
    Assignee: Sony Corporation
    Inventors: Hiroyuki Ishige, Kazuhiro Suzuki, Akira Miyashita
  • Patent number: 8908170
    Abstract: The present invention provides a method for detecting a defect of a display panel and related defect detecting device. The method includes: utilizing lights having different colors to illuminate the display panel; obtaining a plurality of corresponding grey-scale diagrams when the lights illuminate the display panel; and determining whether the display panel has a defect. If the grey-scale diagrams indicate a grey-scale difference, determining that the display panel has a defect. In this way, the present invention is able to raise defect detecting ability for the display panel and prevent from missing the defects.
    Type: Grant
    Filed: January 4, 2013
    Date of Patent: December 9, 2014
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd.
    Inventor: Yung-Yu Lin
  • Patent number: 8908957
    Abstract: A method for building a rule of thumb of defect classification is illustrated. Multiple defect classification images with killer defects of examples and all material information of processes associated with the defect, the pattern, and the background are input into the fab tool. The fab tool obtains image characteristics, process characteristics, and image relativity characteristics of the defects, the pattern, and the background in each of the input images, wherein the input images comprises the defect classification images with killer defects of examples. The rule of thumb of the defect classification is built based on the process characteristics, the image characteristics, and the image relativity characteristics of the defects, the pattern, and the background in each of the input images.
    Type: Grant
    Filed: December 28, 2011
    Date of Patent: December 9, 2014
    Assignee: Elitetech Technology Co.,Ltd.
    Inventor: Iyun Leu
  • Patent number: 8903157
    Abstract: A fusion splicer includes an imaging unit configured to take a lateral transmission image by illuminating a target optical fiber from a lateral direction of the target optical fiber; a determination module configured to create a target luminance distribution in a direction perpendicular to an optical axis of the target optical fiber using the lateral transmission image so as to determine a type of the target optical fiber, by comparing the target luminance distribution with preliminarily registered data of a reference luminance distribution of a reference optical fiber; and a registration module configured to create a message when the target luminance distribution is determined to be outside a tolerance of the reference luminance distribution and to display the message to an operator so that the operator can decide whether or not to register the target luminance distribution of the target optical fiber as a new reference luminance distribution.
    Type: Grant
    Filed: October 7, 2013
    Date of Patent: December 2, 2014
    Assignee: Fujikura Ltd.
    Inventors: Shu Onozaki, Noriyuki Kawanishi, Tomohiro Konuma
  • Patent number: 8903158
    Abstract: An inspection system determines, for each detected pattern defect, a defect inspection pattern area of predetermined dimensions containing the coordinates of the defect, then determines the clusters or cells whose reference points are located within the defect inspection pattern area. The system extracts the data of these clusters or cells from design pattern data read from a first magnetic disk unit. The system then generates an output file containing the extracted data. The output file is then converted into the same format as the input design pattern data or into OASIS format, before it is output to a second magnetic disk unit. The extracted pattern data specifying the clusters or cells within each defect inspection pattern area can be output from the mask inspection system to external systems.
    Type: Grant
    Filed: August 16, 2010
    Date of Patent: December 2, 2014
    Assignee: NuFlare Technology, Inc.
    Inventors: Hideo Tsuchiya, Takafumi Inoue
  • Patent number: 8904315
    Abstract: There is provided a method comprising: examining the location of one or more feature(s) of the one or more component(s) of a circuit arrangement to determine the displacement of the location of said one or more associated communication contact(s) with respect to a designed location for the communication contact(s), and providing corrective communication path layout data of said circuit arrangement based upon the said displacement(s).
    Type: Grant
    Filed: December 17, 2007
    Date of Patent: December 2, 2014
    Assignee: Nokia Corporation
    Inventors: Risto Rönkkä, Tapio Manninen, Kalle Rutanen, Pekka Ruusuvuori, Heikki Huttunen
  • Patent number: 8897539
    Abstract: According to various embodiments, a stream of image frames depicting a structure in a scene are obtained. The stream of image frames may comprise first image frames from a first imaging device and second image frames from a second imaging device. Using the first image frames and the second image frames, a wireframe of at least a portion of the structure is generated. From the wireframe, as-built dimensions may be identified, materials estimates may be determined, and/or data for a fabrication device may be generated, for example.
    Type: Grant
    Filed: October 4, 2012
    Date of Patent: November 25, 2014
    Assignee: Metalforming, Inc.
    Inventors: Geoffrey Stone, William Gaither Wilkins, Habib Fathi, Ioannis Brilakis
  • Patent number: 8897593
    Abstract: An image evaluation device includes: a partial area extracting section extracting plural partial areas from an original image; an extracted image generating section generating an extracted image corresponding to each of the partial areas and having pixels whose pixel values correspond to a gradient of pixel values in the image; an autocorrelation calculating section calculating plural autocorrelation coefficients for each extracted images; a representative coefficient value calculating section calculating a representative coefficient value for each of the autocorrelation coefficients among the partial areas; and a checking section checking the quality of the image based on a distribution of the representative coefficient values.
    Type: Grant
    Filed: December 3, 2009
    Date of Patent: November 25, 2014
    Assignees: Kabushiki Kaisha Toshiba, Toshiba Solutions Corporation
    Inventors: Tomohisa Suzuki, Hiroyuki Mizutani
  • Patent number: 8897540
    Abstract: An optical inspection method including the following steps is disclosed. A tester is utilized to obtain an image of an inspection object. A target image region of the image is determined. Multiple central coordinates of multiple inspection ranges of a target image region are obtained. The central coordinates are filled to an array, and then the central coordinates are reordered according to relative relationships of the central coordinates to obtain a reordered coordinate array. The reordered coordinate array is compared with an original coordinate array to inspect whether parts of the inspection object corresponding to the inspection ranges are missed.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: November 25, 2014
    Assignee: Quanta Computer Inc.
    Inventor: Chin-Lin Lin
  • Publication number: 20140341461
    Abstract: Image processing apparatus includes: interpolation process image acquisition means for acquiring an interpolation process image of prescribed size which includes an interpolation point of an inputted image; Fourier transform means for subjecting the interpolation process image which is acquired with the interpolation process image acquisition means to Fourier transform; phase change means for changing, a phase of each value of the transformed interpolation process image which has been subjected to Fourier transform by the Fourier transform means, such that the interpolation point migrates to a desired nearby integer coordinate position; inverse Fourier transform means for subjecting the interpolation process image whose phase has been changed by the phase change means, to inverse Fourier transform; interpolation value determination means for adopting an interpolation point, a value of a pixel at the integer coordinate position, from the transformed interpolation process image subjected to inverse Fourier tran
    Type: Application
    Filed: October 3, 2012
    Publication date: November 20, 2014
    Applicant: Hitachi, High-Technologies Corporation
    Inventors: Junichi Taguchi, Mitsuji Ikeda, Yuichi Abe, Osamu Inoue, Takahiro Kawasaki
  • Patent number: 8891852
    Abstract: Disclosed are systems and methods for configuring a vision detector, wherein a training image is obtained from a production line operating in continuous motion so as to provide conditions substantially identical to those that will apply during actual manufacturing and inspection of objects. A training image can be obtained without any need for a trigger signal, whether or not the vision detector might use such a signal for inspecting the objects. Further disclosed are systems and methods for testing a vision detector by selecting, storing, and displaying a limited number of images from a production run, where those images correspond to objects likely to represent incorrect decisions.
    Type: Grant
    Filed: November 2, 2004
    Date of Patent: November 18, 2014
    Assignee: Cognex Technology and Investment Corporation
    Inventors: Andrew Eames, Brian V. Mirtich, William M Silver
  • Patent number: 8885919
    Abstract: A failure analysis apparatus 1A is provided with a voltage applying unit 14 for applying a bias voltage to a semiconductor device S, an imaging device 18 for acquiring an image, and an image processing unit 30 for performing image processing, and the imaging device 18 acquires a plurality of analysis images each including a thermal image in a voltage applied state and a plurality of background images in a voltage non-applied state. The image processing unit 30 includes an imaging position calculating section 32 for calculating an imaging position of each of the analysis images and the background images, an image classifying section 33 for classifying the analysis images and the background images into N image groups based on a region division unit prepared for the imaging position, and a difference image generating section 34 for generating difference images between the analysis images and the background images individually for N image groups.
    Type: Grant
    Filed: February 21, 2011
    Date of Patent: November 11, 2014
    Assignee: Hamamatsu Photonics K.K.
    Inventor: Tomochika Takeshima