Metal And Chalcogen Containing Coating (e.g., Metal Oxide, Metal Sulfide, Metal Telluride, Etc.) Patents (Class 427/255.31)
  • Patent number: 11920668
    Abstract: Systems and methods in accordance with embodiments of the invention implement bulk metallic glass-based macroscale gears. In one embodiment, a method of fabricating a bulk metallic glass-based macroscale gear, where at least either the thickness of the gear is greater than 3 mm or the diameter of the gear is greater than 9 mm, includes: obtaining design parameters of the gear to be formed; selecting a bulk metallic glass from which the gear will be formed based on the obtained design parameters, where the selected bulk metallic glass is characterized by a resistance to standard modes of wear and a resistance to brittle fracture such that a gear can be formed from the selected bulk metallic glass that accords with the obtained design parameters; and fabricating the gear from the selected bulk metallic glass that accords with the obtained design parameters.
    Type: Grant
    Filed: March 5, 2021
    Date of Patent: March 5, 2024
    Assignee: California Institute of Technology
    Inventors: Douglas C Hofmann, Andrew Kennett, Kobie T. Boykins
  • Patent number: 11814400
    Abstract: Atomic layer deposition (ALD) processes for forming Te-containing thin films, such as Sb—Te, Ge—Te, Ge—Sb—Te, Bi—Te, and Zn—Te thin films are provided. ALD processes are also provided for forming Se—containing thin films, such as Sb—Se, Ge—Se, Ge—Sb—Se, Bi—Se, and Zn—Se thin films are also provided. Te and Se precursors of the formula (Te,Se)(SiR1R2R3)2 are preferably used, wherein R1, R2, and R3 are alkyl groups. Methods are also provided for synthesizing these Te and Se precursors. Methods are also provided for using the Te and Se thin films in phase change memory devices.
    Type: Grant
    Filed: July 23, 2021
    Date of Patent: November 14, 2023
    Assignee: ASM International N.V.
    Inventors: Viljami Pore, Timo Hatanpaa, Mikko Ritala, Markku Leskelä
  • Patent number: 11773488
    Abstract: ALD and p-CVD methods to generate MgB2 and MgB2-containing films in the growth temperature range of 250-300° C. The thermal ALD and p-CVD methods shown herein ensure that the high-temperature-induced roughening, which causes high surface resistances in MgB2 coatings grown by the mentioned conventional techniques, is avoided. The MgB2 and MgB2-containing films exhibit superconductive properties at above 20° K.
    Type: Grant
    Filed: May 30, 2019
    Date of Patent: October 3, 2023
    Assignee: UChicago Argonne, LLC
    Inventors: David Joseph Mandia, Angel Yanguas-Gil, Devika Choudhury, Aliraeza Nassiri, Anil U. Mane, Jeffrey W. Elam
  • Patent number: 11695054
    Abstract: Methods for forming a semiconductor device structure are provided. The methods may include forming a molybdenum nitride film on a substrate by atomic layer deposition by contacting the substrate with a first vapor phase reactant comprising a molybdenum halide precursor, contacting the substrate with a second vapor phase reactant comprise a nitrogen precursor, and contacting the substrate with a third vapor phase reactant comprising a reducing precursor. The methods provided may also include forming a gate electrode structure comprising the molybdenum nitride film, the gate electrode structure having an effective work function greater than approximately 5.0 eV. Semiconductor device structures including molybdenum nitride films are also provided.
    Type: Grant
    Filed: August 25, 2021
    Date of Patent: July 4, 2023
    Assignee: ASM IP Holding B.V.
    Inventors: Chiyu Zhu, Kiran Shrestha, Petri Raisanen, Michael Eugene Givens
  • Patent number: 11626284
    Abstract: A method to form a 2-Dimensional transistor channel may include depositing an amorphous layer comprising a 2-dimensional material, implanting an implant species into the amorphous layer; and annealing the amorphous layer after the implanting. As such, the amorphous layer may form a doped crystalline layer.
    Type: Grant
    Filed: January 15, 2021
    Date of Patent: April 11, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Keith T. Wong, Hurshvardhan Srivastava, Srinivas D. Nemani, Johannes M. van Meer, Rajesh Prasad
  • Patent number: 11243533
    Abstract: A control apparatus for an unmanned transport machine for delivering a package from a departure location to a destination includes a trouble information acquiring unit configured to acquire trouble information, a selection requesting unit configured to request a recipient of the package to select one option among a plurality of delivery method options when the trouble information indicates trouble occurring on a delivery route from the departure location to the destination, a candidate destination selecting unit configured to select candidate destinations corresponding to the delivery method options based on a current location of the unmanned transport machine and map information, and a destination determining unit configured to determine a new destination selected from the candidate destinations in accordance with the selected option.
    Type: Grant
    Filed: October 5, 2018
    Date of Patent: February 8, 2022
    Assignee: ALPINE ELECTRONICS, INC.
    Inventor: Manabu Fujiwara
  • Patent number: 11213773
    Abstract: Systems and methods for determining whether an authorized or genuine filter element is installed in a filtration system are described. The authorized filter determination may be based on radio frequency identification (“RFID”) technology. RFID readers with antennas in the monitored filter systems read the RFID tag information from the installed filter elements and feed any detected information into the filter monitoring system. The filter monitoring system or a remote diagnostic system analyzes the returned data (or absence thereof) to determine if a genuine (i.e., authorized, OEM approved, etc.) filter element is installed or not.
    Type: Grant
    Filed: March 6, 2018
    Date of Patent: January 4, 2022
    Assignee: CUMMINS FILTRATION IP, INC.
    Inventors: Joseph O. Okoro, Bharadwaj R. Prabhala, Adaeze O. M. Okoye, Sonal Laxman Chirme, Abhijit Shimpi, Abhijeet Vaidya, Mahesh Suresh Chindarkar, Amit Shashikant Wankhede, J. Stephen Wills, Barry M. Verdegan
  • Patent number: 11080945
    Abstract: An on-board device is equipped with: an RFIC which includes an activation processing unit for outputting an activation signal upon receiving a radio wave for RFID communication and an RF tag function unit for reading prerecorded tag information; an IC card processing unit which is activated on the basis of the activation signal when electric power is supplied thereto from a power supply circuit, and which reads and writes IC card information from and to an IC card; and a communication unit which transmits the tag information and/or the IC card information to an external device.
    Type: Grant
    Filed: March 31, 2016
    Date of Patent: August 3, 2021
    Assignee: MITSUBISHI HEAVY INDUSTRIES MACHINERY SYSTEMS, LTD.
    Inventors: Kazuyoshi Kitajima, Tatsuya Higuchi, Keiji Terasaka, Kyoko Oshima
  • Patent number: 10840084
    Abstract: A method of manufacturing a semiconductor device includes: forming a protective material composed of a polymer having a urea bond by supplying a raw material for polymerization to a surface of a substrate for manufacturing the semiconductor device, the protective material configured to protect a protection target layer provided in the substrate against a treatment to be performed on the substrate; subsequently performing the process on the substrate on which the protective material is formed; and subsequently removing the protective material by heating the substrate under a low oxygen atmosphere to depolymerize the polymer.
    Type: Grant
    Filed: October 19, 2018
    Date of Patent: November 17, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Tatsuya Yamaguchi
  • Patent number: 10607895
    Abstract: A method for forming a semiconductor device structure is disclosure. The method may include, depositing an NMOS gate dielectric and a PMOS gate dielectric over a semiconductor substrate, depositing a first work function metal over the NMOS gate dielectric and over the PMOS gate dielectric, removing the first work function metal over the PMOS gate dielectric, and depositing a second work function metal over the NMOS gate dielectric and over the PMOS gate dielectric. Semiconductor device structures including desired metal gate electrodes deposited by the methods of the disclosure are also disclosed.
    Type: Grant
    Filed: September 18, 2017
    Date of Patent: March 31, 2020
    Assignee: ASM IP Holdings B.V.
    Inventors: Qi Xie, Chiyu Zhu, Kiran Shrestha, Pauline Calka, Oreste Madia, Jan Willem Maes, Michael Eugene Givens
  • Patent number: 10486204
    Abstract: A semiconductor apparatus for removing a photoresist layer on a substrate includes a platform, a first ultraviolet lamp, and an ozone supplier. The platform is used to support the substrate. The first ultraviolet lamp is used to provide first ultraviolet light. The ozone supplier has at least one first nozzle for introducing ozone toward the substrate through the first ultraviolet light, such that at least a part of the ozone is decomposed by the first ultraviolet light, and at least a part of the decomposed ozone reaches the photoresist layer to react with the photoresist layer. Moreover, a method of removing a photoresist layer on a substrate is also provided.
    Type: Grant
    Filed: November 6, 2014
    Date of Patent: November 26, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Jui-Chuan Chang, Shao-Yen Ku, Wen-Chang Tsai, Shang-Yuan Yu, Chien-Wen Hsiao, Fan-Yi Hsu
  • Patent number: 10428422
    Abstract: A film-forming method for forming a film in a film-forming apparatus includes generating first gas molecular species and second gas molecular species by causing the first source gas and the second source gas accumulated in the accumulation mechanisms to pass through respective instantaneously-heating units, sharply raising partial pressure of the first gas molecular species and partial pressure of the second gas molecular species by projectingly supplying the first gas molecular species and the second gas molecular species to the reaction chamber in which the substrate has been placed, which has been depressurized, and which has a constant capacity; bringing the first gas molecular species or the second gas molecular species into reaction by alternately repeatedly guiding the first gas molecular species or the second gas molecular species to a surface of the substrate, and forming a compound film on the surface of the substrate.
    Type: Grant
    Filed: May 4, 2017
    Date of Patent: October 1, 2019
    Assignee: PHILTECH Inc.
    Inventors: Yuji Furumura, Noriyoshi Shimizu, Shinji Nishihara, Eri Haikata, Masato Ishikawa
  • Patent number: 10319589
    Abstract: A method of forming a thin film includes: (1) providing an ink composition including nanoplates of a layered material disposed in a liquid dispersion medium; (2) applying the ink composition over a substrate to form a coating; and (3) annealing the coating to form a thin film of the layered material over the substrate.
    Type: Grant
    Filed: September 10, 2015
    Date of Patent: June 11, 2019
    Assignee: THE REGENTS OF THE UNIVERSITY OF CALIFORNIA
    Inventors: Xiangfeng Duan, Yu Huang
  • Patent number: 10217629
    Abstract: Method of deposition on a substrate of a dielectric film by introducing into a reaction chamber a vapor of a precursor selected from the group consisting of Zr(MeCp)(NMe2)3, Zr(EtCp)(NMe2)3, ZrCp(NMe2)3, Zr(MeCp)(NEtMe)3, Zr(EtCp)(NEtMe)3, ZrCp(NEtMe)3, Zr(MeCp)(NEt2)3, Zr(EtCp)(NEt2)3, ZrCp(NEt2)3, Zr(iPr2Cp)(NMe2)3, Zr(tBu2Cp)(NMe2)3, Hf(MeCp)(NMe2)3, Hf(EtCp)(NMe2)3, HfCp(NMe2)3, Hf(MeCp)(NEtMe)3, Hf(EtCp)(NEtMe)3, HfCp(NEtMe)3, Hf(MeCp)(NEt2)3, Hf(EtCp)(NEt2)3, HfCp(NEt2)3, Hf(iPr2Cp)(NMe2)3, Hf(tBu2Cp)(NMe2)3, and mixtures thereof; and depositing the dielectric film on the substrate.
    Type: Grant
    Filed: January 29, 2018
    Date of Patent: February 26, 2019
    Assignee: L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude
    Inventors: Christian Dussarrat, Nicolas Blasco, Audrey Pinchart, Christophe Lachaud
  • Patent number: 10185455
    Abstract: A mobile computing device for fleet telematics including a display and a controller configured to present at least one telematics application to a user. In an exemplary embodiment, the application is a verified inspection application, and the device includes an RF coil for an RFID reader that substantially extends along a perimeter of a housing for the device. In a related embodiment, the device includes an indicator light disposed along a perimeter edge of the housing, generally adjacent to the RF coil, the indicator light providing a user an indication of how to orient the device in order to enable the RF coil to interact with an RFID tag. The device can be configured such that the indicator light is only enabled when the inspection application is running. The device can include a flashlight and/or a digital camera, where the device is similarly programmed such that the flashlight and/or camera can only be enabled when the inspection application is running.
    Type: Grant
    Filed: October 4, 2013
    Date of Patent: January 22, 2019
    Assignee: ZONAR SYSTEMS, INC.
    Inventors: Charles Michael McQuade, Alan Chuang, Ron Stieger
  • Patent number: 9809305
    Abstract: Unmanned aerial vehicles (“UAVs”) which fly to destinations (e.g., for delivering items) may land on transportation vehicles (e.g., delivery trucks, etc.) for temporary transport. An agreement with the owner of the transportation vehicles (e.g., a shipping carrier) may be made for obtaining consent and determining compensation for landings, and the associated transportation vehicles that are available for landings may be identified by markers on the roof or other identification techniques. The routes of the transportation vehicles may be known and utilized to determine locations where UAVs will land on and take off from the transportation vehicles, and in cases of emergencies (e.g., due to low batteries, mechanical issues, etc.) the UAVs may land on the transportation vehicles for later retrieval.
    Type: Grant
    Filed: March 2, 2015
    Date of Patent: November 7, 2017
    Assignee: Amazon Technologies, Inc.
    Inventors: Daniel Buchmueller, Scott A. Green, Atishkumar Kalyan, Gur Kimchi
  • Patent number: 9593405
    Abstract: A method of depositing a dielectric thin film may include: depositing a thin layer of dielectric; stopping deposition of the dielectric layer, and modifying the gas in the chamber if desired; inducing and maintaining a plasma in the vicinity of the substrate to provide ion bombardment of the deposited layer of dielectric; and repeating the depositing, stopping and inducing and maintaining steps until a desired thickness of dielectric is deposited. A variation on this method may include, in place of the repeating step: depositing a thick layer of lower quality dielectric; depositing a thin layer of high quality dielectric; stopping deposition of the dielectric layer, and modifying the gas in the chamber if desired; and inducing and maintaining a plasma in the vicinity of the substrate to provide ion bombardment of the deposited layer of dielectric. The thick layer of dielectric may be deposited more rapidly than the thin layers.
    Type: Grant
    Filed: June 14, 2012
    Date of Patent: March 14, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Chong Jiang, Byung-Sung Leo Kwak
  • Patent number: 9085823
    Abstract: A method for forming a tantalum-containing layer on a substrate, the method comprising at least the steps of: a) providing a vapor comprising at least one precursor compound of the formula Cp(R1)mTa(NR22)2(?NR3) (I): wherein: R1 is an organic ligand, each one independently selected in the group consisting of H, linear or branched hydrocarbyl radical comprising from 1 to 6 carbon atoms; R2 is an organic ligand, each one independently selected in the group consisting of H, linear or branched hydrocarbyl radical comprising from 1 to 6 carbon atoms; R3 is an organic ligand selected in the group consisting of H, linear or branched hydrocarbyl radical comprising from 1 to 6 carbon atoms; b) reacting the vapor comprising the at least one compound of formula (I) with the substrate, according to an atomic layer deposition process, to form a layer of a tantalum-containing complex on at least one surface of said substrate.
    Type: Grant
    Filed: July 15, 2009
    Date of Patent: July 21, 2015
    Assignee: L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude
    Inventors: Nicolas Blasco, Anthony Correia-Anacleto, Audrey Pinchart, Andreas Zauner, Ziyun Wang
  • Patent number: 9059330
    Abstract: Integrated circuit capacitors have composite dielectric layers therein. These composite dielectric layers include crystallization inhibiting regions that operate to increase the overall crystallization temperature of the composite dielectric layer. An integrated circuit capacitor includes first and second capacitor electrodes and a capacitor dielectric layer extending between the first and second capacitor electrodes. The capacitor dielectric layer includes a composite of a first dielectric layer extending adjacent the first capacitor electrode, a second dielectric layer extending adjacent the second capacitor electrode and an electrically insulating crystallization inhibiting layer extending between the first and second dielectric layers. The electrically insulating crystallization inhibiting layer is formed of a material having a higher crystallization temperature characteristic relative to the first and second dielectric layers.
    Type: Grant
    Filed: December 17, 2012
    Date of Patent: June 16, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-hyoung Choi, Jung-hee Chung, Cha-young Yoo, Young-sun Kim, Se-hoon Oh
  • Patent number: 9023427
    Abstract: Atomic layer deposition of multi-component, preferably multi-component oxide, thin films. Provide herein is a method for depositing a multi-component oxide film by, for example, an ALD or PEALD process, wherein the process comprises at least two individual metal oxide deposition cycles. The method provided herein has particular advantages in producing multi-component oxide films having superior uniformity. A method is presented, for example, including depositing multi-component oxide films comprising components A?B?O by ALD comprising mixing two individual metal oxides deposition cycles A+O and B+O, wherein the subcycle order is selected in such way that as few as possible consecutive deposition subcycles for A+O or B+O are performed.
    Type: Grant
    Filed: May 16, 2012
    Date of Patent: May 5, 2015
    Assignee: ASM IP Holding B.V.
    Inventors: Raija Matero, Tom Blomberg
  • Patent number: 9023466
    Abstract: A cutting tool insert for machining by chip removal comprising a body of a hard alloy of cemented carbide, cermet, ceramics or cubic boron nitride based material onto which a hard and wear resistant coating is deposited by CVD, and the methods of making and using the same. The coating includes at least one ?-Al2O3 layer with a thickness between 0.5 ?m and 40 ?m having a {01-15} and/or {10-15} texture exhibiting excellent wear and metal cutting performance.
    Type: Grant
    Filed: June 7, 2011
    Date of Patent: May 5, 2015
    Assignee: Seco Tools AB
    Inventors: Tommy Larsson, Mats Johansson
  • Publication number: 20150118395
    Abstract: Metal silicates or phosphates are deposited on a heated substrate by the reaction of vapors of alkoxysilanols or alkylphosphates along with reactive metal amides, alkyls or alkoxides. For example, vapors of tris(tert-butoxy)silanol react with vapors of tetrakis(ethylmethylamido)hafnium to deposit hafnium silicate on surfaces heated to 300° C. The product film has a very uniform stoichiometry throughout the reactor. Similarly, vapors of diisopropylphosphate react with vapors of lithium bis(ethyldimethylsilyl)amide to deposit lithium phosphate films on substrates heated to 250° C. Supplying the vapors in alternating pulses produces these same compositions with a very uniform distribution of thickness and excellent step coverage.
    Type: Application
    Filed: December 31, 2014
    Publication date: April 30, 2015
    Inventors: Roy Gerald GORDON, Jill S. BECKER, Dennis HAUSMANN, Seigi SUH
  • Patent number: 9011973
    Abstract: Methods of depositing an oxygen deficient metal film by chemical reaction of at least one precursor having a predetermined oxygen deficiency on a substrate. An exemplary method includes, during a metal oxide deposition cycle, exposing the substrate to a metal reactant gas comprising a metal and an oxygen reactant gas comprising oxygen to form a layer containing a metal oxide on the substrate. During an oxygen deficient deposition cycle, exposing the substrate to a metal reactant gas comprising a metal and an additional reactant gas excluding oxygen to form a second layer at least one of a metal nitride and a mixed metal on the substrate during a second cycle, the second layer being oxygen deficient relative to the layer containing the metal oxide; and repeating the metal oxide deposition cycle and the oxygen deficient deposition cycle to form the oxygen deficient film having the predetermined oxygen deficiency.
    Type: Grant
    Filed: July 3, 2013
    Date of Patent: April 21, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Schubert Chu, Er-Xuan Ping, Yoshihide Senzaki
  • Publication number: 20150104575
    Abstract: A deposition system can conduct ALD or CVD deposition and can switch between the deposition modes. The system is capable of depositing multi-metal films and multi-layer films of alternating ALD and CVD films. Reactant supplies can be bypassed with carrier gas flow to maintain pressure in a reactor and in reactor supply lines and purge reactants.
    Type: Application
    Filed: October 15, 2014
    Publication date: April 16, 2015
    Inventors: Christos G. Takoudis, Manish Singh, Sathees Kannan Selvaraj
  • Patent number: 8993058
    Abstract: Described are apparatus and methods for forming tantalum silicate layers on germanium or III-V materials. Such tantalum silicate layers may have Si/(Ta+Si) atomic ratios from about 0.01 to about 0.15. The tantalum silicate layers may be formed by atomic layer deposition of silicon oxide and tantalum oxide, followed by interdiffusion of the silicon oxide and tantalum oxide layers.
    Type: Grant
    Filed: August 27, 2013
    Date of Patent: March 31, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Jeffrey W. Anthis, Khaled Z. Ahmed
  • Patent number: 8993055
    Abstract: Methods of producing metal-containing thin films with low impurity contents on a substrate by atomic layer deposition (ALD) are provided. The methods preferably comprise contacting a substrate with alternating and sequential pulses of a metal source chemical, a second source chemical and a deposition enhancing agent. The deposition enhancing agent is preferably selected from the group consisting of hydrocarbons, hydrogen, hydrogen plasma, hydrogen radicals, silanes, germanium compounds, nitrogen compounds, and boron compounds. In some embodiments, the deposition-enhancing agent reacts with halide contaminants in the growing thin film, improving film properties.
    Type: Grant
    Filed: October 27, 2006
    Date of Patent: March 31, 2015
    Assignee: ASM International N.V.
    Inventors: Antti Rahtu, Eva Tois, Kai-Erik Elers, Wei-Min Li
  • Patent number: 8974857
    Abstract: Disclosed is to a method for manufacturing a cobalt boride coating layer on the surface of iron-based metals by using a pack cementation process. In particular, the present invention relates to a method for manufacturing a cobalt boride coating layer by forming a composite coating layer on the surface of steels which is composed of an outmost layer having a composition of cobalt boride (Co2B) and an inner layer having a composition of iron-cobalt boride ((Fe,Co)2B). Since the cobalt boride coating layer is a compact coating layer having little defects such as pores, it can improve physical properties such as corrosion resistance, wear resistance and oxidation resistance of steels.
    Type: Grant
    Filed: November 13, 2012
    Date of Patent: March 10, 2015
    Assignee: Korea Institute of Science and Technology
    Inventors: Jin Kook Yoon, Jung Man Doh, Sang Whan Park
  • Patent number: 8962078
    Abstract: A method is provided for depositing a dielectric film on a substrate. According to one embodiment, the method includes providing the substrate in a process chamber, exposing the substrate to a gaseous precursor to form an adsorbed layer on the substrate, exposing the adsorbed layer to an oxygen-containing gas, a nitrogen-containing gas, or an oxygen- and nitrogen-containing gas, or a combination thereof, to form the dielectric film on the substrate, generating a hydrogen halide gas in the process chamber by a decomposition reaction of a hydrogen halide precursor gas, and exposing the dielectric film to the hydrogen halide gas to remove contaminants from the dielectric film.
    Type: Grant
    Filed: June 22, 2012
    Date of Patent: February 24, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Robert D. Clark
  • Patent number: 8956698
    Abstract: Systems and methods for depositing complex thin-film alloys on substrates are provided. In particular, systems and methods for the deposition of thin-film Cd1-xMxTe ternary alloys on substrates using a stacked-source sublimation system are provided, where M is a metal such as Mg, Zn, Mn, and Cu.
    Type: Grant
    Filed: April 7, 2014
    Date of Patent: February 17, 2015
    Assignee: Colorado State University Research Foundation
    Inventors: Walajabad S. Sampath, Pavel S. Kobyakov, Kevin E. Walters, Davis R. Hemenway
  • Patent number: 8945675
    Abstract: The present disclosure relates to the deposition of conductive titanium oxide films by atomic layer deposition processes. Amorphous doped titanium oxide films are deposited by ALD processes comprising titanium oxide deposition cycles and dopant oxide deposition cycles and are subsequently annealed to produce a conductive crystalline anatase film. Doped titanium oxide films may also be deposited by first depositing a doped titanium nitride thin film by ALD processes comprising titanium nitride deposition cycles and dopant nitride deposition cycles and subsequently oxidizing the nitride film to form a doped titanium oxide film. The doped titanium oxide films may be used, for example, in capacitor structures.
    Type: Grant
    Filed: May 29, 2008
    Date of Patent: February 3, 2015
    Assignee: ASM International N.V.
    Inventors: Viljami Pore, Mikko Ritala, Markku Leskelä
  • Patent number: 8927059
    Abstract: Methods of depositing pure metal and aluminum alloy metal films. Certain methods comprises contacting a substrate surface with first and second precursors, the first precursor comprising an aluminum precursor selected from dimethylaluminum hydride, alane coordinated to an amine, and a compound having a structure represented by: wherein R is a C1-C6 alkyl group, and the second precursor comprising a metal halide. Other methods relate to sequentially exposing a substrate to a first and second precursor, the first precursor comprising an aluminum precursor as described above, and the second precursor comprising Ti(NR?2)4 or Ta(NR?2)5, wherein R? is an alkyl, alkenyl, alkynyl, keto or aldehyde group.
    Type: Grant
    Filed: November 6, 2012
    Date of Patent: January 6, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Xinliang Lu, David Thompson, Jeffrey W. Anthis, Mei Chang, Seshadri Ganguli, Wei Tang, Srinivas Gandikota, Atif Noori
  • Patent number: 8911827
    Abstract: A chemical deposition method for producing a platinum thin film or a platinum compound thin film by chemical vapor deposition of an organoplatinum compound is represented by the following formula, which includes a divalent platinum atom, and hexadiene or a hexadiene derivative and alkyl anions coordinated to the divalent platinum atom. In the following formula, R1 and R2 are each an alkyl group, and may be different from each other. R3 and R4 are each a hydrogen atom or an alkyl group, and may be different from each other. The organoplatinum compound is satisfactory in stability and generates no toxic substance in film formation, and hence is satisfactory in handleability and excellent in practicability. The organoplatinum compound has a high vapor pressure, enables the film formation at a low temperature, and is useful as a CVD raw material easily forming a film on a spatial structure.
    Type: Grant
    Filed: April 16, 2012
    Date of Patent: December 16, 2014
    Assignee: Tanka Kikinzoku Kogyo K.K.
    Inventors: Kazuharu Suzuki, Shunichi Nabeya, Masayuki Saito
  • Patent number: 8900664
    Abstract: A method is disclosed for fabricating high efficiency CIGS solar cells including the deposition of a multi-component metal precursor film on a substrate. The substrate is then inserted into a system suitable for exposing the precursor to a chalcogen to form a chalcogenide TFPV absorber. One or more Na precursors are used to deposit a Na-containing layer on the precursor film in the system. This method eliminates the use of dedicated equipment and processes for introducing Na to the TFPV absorber.
    Type: Grant
    Filed: December 27, 2012
    Date of Patent: December 2, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Haifan Liang, Jessica Eid, Jeroen Van Duren
  • Patent number: 8900695
    Abstract: The present invention is related to carbon-doped metal oxide films. The carbon-doped metal oxide films provide a low coefficient of friction, for example ranging from about 0.05 to about 0.4. In addition, the carbon-doped metal oxide films applied over a silicon substrate, for example, provide anti-stiction properties, where the measured work of adhesion for a MEMS device cantilever beam coated with the carbon-doped metal oxide film is less than 10 ?J/m2. In addition, the carbon-doped metal oxide films provide unexpectedly good water vapor transmission properties. The carbon content in the carbon-doped metal oxide films ranges from about 5 atomic % to about 20 atomic %.
    Type: Grant
    Filed: February 22, 2008
    Date of Patent: December 2, 2014
    Assignee: Applied Microstructures, Inc.
    Inventors: Boris Kobrin, Romuald Nowak, Jeffrey D. Chinn
  • Publication number: 20140335272
    Abstract: In the method, silver is protected against tarnishing using an Atomic Layer Deposition method. In the Atomic Layer Deposition method, a thin film coating is formed on the surface of silver by depositing successive molecule layers of the coating material. For example aluminium oxide (Al2O3) or zirconium oxide may be used as the coating material.
    Type: Application
    Filed: July 29, 2014
    Publication date: November 13, 2014
    Applicant: BENEQ OY
    Inventors: Milja MAKELA, Pekka SOININEN, Sami SNECK
  • Patent number: 8865260
    Abstract: A coated ceramic cutting insert for removing material from a workpiece, as well as a method for making the same, that includes a ceramic substrate with a rake surface and at least one flank surface wherein a cutting edge is at the juncture therebetween. A wear-resistant coating scheme that includes an alumina-containing base coating layer region, which has at least one exposed alumina coating layer, deposited by chemical vapor deposition on the substantially all of the surfaces of the ceramic substrate that experience wear during removal of material from the workpiece. The exposed alumina coating layer exhibits a blasted stress condition ranging between about 50 MPa (tensile stress) and about ?2 GPa (compressive) as measured by XRD using the Psi tilt method and the (024) reflection of alumina. The exposed alumina coating layer is the result of wet blasting a titanium-containing outer coating layer region from the surface of the alumina-containing base coating layer region.
    Type: Grant
    Filed: June 3, 2013
    Date of Patent: October 21, 2014
    Assignee: Kennametal Inc.
    Inventors: Zhigang Ban, Alfred S. Gates, Jr., Yixiong Liu, Jie Wu
  • Patent number: 8859047
    Abstract: Disclosed are atomic layer deposition methods using ruthenium-containing precursors to form ruthenium-containing films for use in the manufacture of semiconductor, photovoltaic, LCD-TFT, or flat panel type devices.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: October 14, 2014
    Assignees: L'Air Liquide, Societe Anonyme pour l'Etude et l'Exploitation des Procedes Georges Claude, American Air Liquide, Inc.
    Inventors: Julien Gatineau, Christian Dussarrat
  • Patent number: 8858666
    Abstract: A coating for a cutting tool, which includes a plurality of mutually superposed layers, characterized in that the coating has an outer cover layer with a first layer portion of metallic aluminium or an aluminium alloy and a second layer portion arranged thereover of aluminium oxide or a mixed oxide which contains aluminium and at least one further metal.
    Type: Grant
    Filed: August 26, 2010
    Date of Patent: October 14, 2014
    Assignee: Walter AG
    Inventor: Veit Schier
  • Patent number: 8859040
    Abstract: A method of depositing a conformal coating on a porous non-ceramic substrate requires reactive gases to flow through the substrate so as to leave a conformal coating behind. The process can be used to leave a hydrophilic surface on the interior pores of the substrate, even when the substrate is of a naturally hydrophobic, e.g., olefinic material. The method can be used in a roll-to-roll process, or in a batch process. In some convenient embodiments of the latter case, the batch reactor and the conformally coated substrate or substrates can together go on to be come part of the end product, e.g., a filter body and the filter elements respectively.
    Type: Grant
    Filed: September 15, 2010
    Date of Patent: October 14, 2014
    Assignee: 3M Innovative Properties Company
    Inventor: Bill H. Dodge
  • Publication number: 20140287160
    Abstract: The present invention provides a vapour deposition process for the preparation of a phosphate compound, wherein the process comprises providing each component element of the phosphate compound as a vapour, and co-depositing the component element vapours on a common substrate, wherein the component elements react on the substrate to form the phosphate compound.
    Type: Application
    Filed: July 20, 2012
    Publication date: September 25, 2014
    Applicants: TOYOTA MOTOR CORPORATION, ILIKA TECHNOLOGIES LTD.
    Inventors: Brian Elliott Hayden, Christopher Edward Lee, Duncan Clifford Alan Smith, Mark Stephen Beal, Xiaojuan Lu, Chihiro Yada
  • Patent number: 8821976
    Abstract: A method for elaborating carbon nanotubes on a substrate is provided. The method may comprise a step for growing on the substrate the nanotubes by chemical vapor deposition by having a stream comprising a carbon source, a precursor source of an oxide compound and, optionally a catalyst source, pass over the substrate.
    Type: Grant
    Filed: November 10, 2010
    Date of Patent: September 2, 2014
    Assignee: Commissariat a l'Energie Atomique et aux Energies Alternatives
    Inventors: Cyrine Brahim, Hicham Maskrot
  • Patent number: 8802194
    Abstract: Methods and compositions for depositing a tellurium-containing film on a substrate are disclosed. A reactor and at least one substrate disposed in the reactor are provided. A tellurium-containing precursor is provided and introduced into the reactor, which is maintained at a temperature ranging from approximately 20° C. to approximately 100° C. Tellurium is deposited on to the substrate through a deposition process to form a thin film on the substrate.
    Type: Grant
    Filed: June 24, 2011
    Date of Patent: August 12, 2014
    Assignee: L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude
    Inventors: Hana Ishii, Julien Gatineau
  • Patent number: 8771791
    Abstract: Atomic layer deposition is performed by reciprocating a susceptor in two directions, subjecting a substrate on the susceptor to two different sequences of processes. By subjecting the susceptor to different sequences of processes, the substrate undergoes different processes that otherwise would have required an additional set of injectors or reactors. The reduced number of injectors or reactors enables a more compact deposition device, and reduces the cost associated with the deposition device.
    Type: Grant
    Filed: October 13, 2011
    Date of Patent: July 8, 2014
    Assignee: Veeco ALD Inc.
    Inventors: Sang In Lee, Chang Wan Hwang
  • Patent number: 8765221
    Abstract: A film forming method includes a step of arranging a wafer, on which an insulating film is formed, in a processing chamber of a film forming apparatus and a surface modification step of supplying a compound gas containing silicon atoms and an OH group-donating gas into the processing chamber so that Si—OH groups are formed on the surface of the insulating film. The film forming method further includes a film forming step of supplying a film forming gas containing a manganese-containing material into the processing chamber so that a manganese-containing film is formed on the surface of the insulating film on which the Si—OH groups have been formed through a CVD method.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: July 1, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hidenori Miyoshi, Hitoshi Itoh, Hiroshi Sato
  • Patent number: 8765220
    Abstract: Disclosed are hafnium- or zirconium-containing compounds. The compounds may be used to deposit hafnium- or zirconium-containing layers using vapor deposition methods such as chemical vapor deposition or atomic layer deposition. The hafnium- or zirconium-containing compounds include a ligand at least one aliphatic group as substituents selected to have greater degrees of freedom than the substituents disclosed in the prior art.
    Type: Grant
    Filed: November 5, 2010
    Date of Patent: July 1, 2014
    Assignee: American Air Liquide, Inc.
    Inventors: Christian Dussarrat, Vincent M. Omarjee, Venkateswara R. Pallem
  • Patent number: 8765223
    Abstract: This invention discloses the synthesis of metal chalcogenides using chemical vapor deposition (CVD) process, atomic layer deposition (ALD) process, or wet solution process. Ligand exchange reactions of organosilyltellurium or organosilylselenium with a series of metal compounds having neucleophilic substituents generate metal chalcogenides. This chemistry is used to deposit germanium-antimony-tellurium (GeSbTe) and germanium-antimony-selenium (GeSbSe) films or other tellurium and selenium based metal compounds for phase change memory and photovoltaic devices.
    Type: Grant
    Filed: April 17, 2009
    Date of Patent: July 1, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Manchao Xiao, Liu Yang
  • Patent number: 8758851
    Abstract: A method for increasing the durability of glass by a coating, according to the present invention comprises the step of coating glass with a coating comprising at least one layer whose thickness is below 5 nanometers, wherein the coating comprises a compound of at least one element.
    Type: Grant
    Filed: December 1, 2008
    Date of Patent: June 24, 2014
    Assignee: Beneq Oy
    Inventors: Markku Rajala, Matti Putkonen
  • Patent number: 8741800
    Abstract: A high surface area catalyst with a mesoporous support structure and a thin conformal coating over the surface of the support structure. The high surface area catalyst support is adapted for carrying out a reaction in a reaction environment where the thin conformal coating protects the support structure within the reaction environment. In various embodiments, the support structure is a mesoporous silica catalytic support and the thin conformal coating comprises a layer of metal oxide resistant to the reaction environment which may be a hydrothermal environment.
    Type: Grant
    Filed: July 22, 2010
    Date of Patent: June 3, 2014
    Assignee: UChicago Argonne, LLC
    Inventors: Jeffrey W. Elam, Christopher L. Marshall, Joseph A. Libera, James A. Dumesic, Yomaira J. Pagan-Torres
  • Patent number: 8741386
    Abstract: Methods and systems are provided for synthesis and deposition of chalcogenides (including Cu2ZnSnS4). Binary compounds, such as metal sulfides, can be deposited by alternating exposures of the substrate to a metal cation precursor and a chalcogen anion precursor with purge steps between.
    Type: Grant
    Filed: September 28, 2012
    Date of Patent: June 3, 2014
    Assignee: Uchicago Argonne, LLC
    Inventors: Elijah J. Thimsen, Shannon C. Riha, Alex B. F. Martinson, Jeffrey W. Elam, Michael J. Pellin
  • Patent number: 8734903
    Abstract: A chemical vapor deposition process for the deposition of a silica layer on a glass substrate is provided. The process includes providing a glass substrate. The process also includes forming a gaseous precursor mixture comprising a silane compound, oxygen, water vapor, and a radical scavenger and directing the precursor mixture toward and along the glass substrate. The mixture reacts over the glass substrate to form a silica coating thereon.
    Type: Grant
    Filed: September 5, 2012
    Date of Patent: May 27, 2014
    Assignee: Pilkington Group Limited
    Inventor: Douglas M. Nelson