Recessed Oxide Formed By Localized Oxidation (i.e., Locos) Patents (Class 438/225)
  • Patent number: 10756187
    Abstract: An integrated circuit includes an extended drain MOS transistor. The substrate of the integrated circuit has a lower layer with a first conductivity type. A drain well of the extended drain MOS transistor has the first conductivity type. The drain well is separated from the lower layer by a drain isolation well having a second, opposite, conductivity type. A source region of the extended drain MOS transistor is separated from the lower layer by a body well having the second conductivity type. Both the drain isolation well and the body well contact the lower layer. An average dopant density of the second conductivity type in the drain isolation well is less than an average dopant density of the second conductivity type in the body well.
    Type: Grant
    Filed: March 28, 2019
    Date of Patent: August 25, 2020
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Chin-yu Tsai, Guruvayurappan Mathur
  • Patent number: 10651273
    Abstract: A semiconductor device includes an extremely thin semiconductor-on-insulator substrate (ETSOI) having a base substrate, a thin semiconductor layer and a buried dielectric therebetween. A device channel is formed in the thin semiconductor layer. Source and drain regions are formed at opposing positions relative to the device channel. The source and drain regions include an n-type material deposited on the buried dielectric within a thickness of the thin semiconductor layer. A gate structure is formed over the device channel.
    Type: Grant
    Filed: July 14, 2017
    Date of Patent: May 12, 2020
    Assignee: International Business Machines Corporation
    Inventors: Joel P. de Souza, Keith E. Fogel, Jeehwan Kim, Devendra K. Sadana
  • Patent number: 9000594
    Abstract: A contiguous layer of graphene is formed on exposed sidewall surfaces and a topmost surface of a copper-containing structure that is present on a surface of a substrate. The presence of the contiguous layer of graphene on the copper-containing structure reduces copper oxidation and surface diffusion of copper ions and thus improves the electromigration resistance of the structure. These benefits can be obtained using graphene without increasing the resistance of copper-containing structure.
    Type: Grant
    Filed: December 16, 2013
    Date of Patent: April 7, 2015
    Assignee: International Business Machines Corporation
    Inventors: John A. Ott, Ageeth A. Bol
  • Patent number: 8871643
    Abstract: A manufacturing method for manufacturing a lateral semiconductor device having an SOI (Silicon on Insulator) substrate, the lateral semiconductor device comprising a semiconductor layer that includes a buried oxide layer and a drift region, the manufacturing method comprising an etching process of etching, by a predetermined depth, a LOCOS oxide that projects from a surface of the semiconductor layer by a predetermined thickness and is embedded in the semiconductor layer by a predetermined thickness, and a trench forming process of simultaneously forming a first trench extending from the drift region toward the buried oxide layer, and a second trench extending from a portion obtained by the etching in the etching process toward the buried oxide layer, at a same etching rate, and stopping forming the first trench and the second trench at a time when the second trench reaches the buried oxide layer.
    Type: Grant
    Filed: February 8, 2011
    Date of Patent: October 28, 2014
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventors: Hiroomi Eguchi, Takashi Okawa, Atsushi Onogi
  • Patent number: 8796088
    Abstract: A semiconductor device and a method of fabricating the semiconductor device is provided. In the method, a semiconductor substrate defining a device region and an outer region at a periphery of the device region is provided, an align trench is formed in the outer region, a dummy trench is formed in the device region, an epi layer is formed over a top surface of the semiconductor substrate and within the dummy trench, a current path changing part is formed over the epi layer, and a gate electrode is formed over the current path changing part. When the epi layer is formed, a current path changing trench corresponding to the dummy trench is formed over the epi layer, and the current path changing part is formed within the current path changing trench.
    Type: Grant
    Filed: July 10, 2012
    Date of Patent: August 5, 2014
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Chul Jin Yoon
  • Patent number: 8710569
    Abstract: A semiconductor device includes a semiconductor substrate including a DRAM portion and a logic portion thereon, an interlayer film covering the DRAM portion and logic portion of the semiconductor substrate, and plural contact plugs formed in the interlayer film in the DRAM portion and the logic portion, the plural contact plugs being in contact with a metal suicide layer on a highly-doped region of source and drain regions of first, second and third transistors in the DRAM portion and the logic portion, an interface between the plural contact plugs and the metal silicide layer being formed at a main surface in the DRAM portion and the logic portion.
    Type: Grant
    Filed: January 5, 2012
    Date of Patent: April 29, 2014
    Assignee: Renesas Electronics Corporation
    Inventors: Ken Inoue, Masayuki Hamada
  • Patent number: 8709897
    Abstract: A method for forming a high performance strained source-drain structure includes forming a gate structure on a substrate and forming a pocket implant region proximate to the gate structure. Spacers are formed adjacent to the gate structure. A dry etch forms a recess with a first contour; a wet etch enlarge the recess to a second contour; and a thermal etch enlarges the recess to a third contour. The source-drain structure is then formed in the recess having the third contour.
    Type: Grant
    Filed: November 30, 2010
    Date of Patent: April 29, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsueh-Chang Sung, Ming-Huan Tsai, Hsien-Hsin Lin, Chun-Fai Cheng, Wei-Han Fan
  • Patent number: 8647978
    Abstract: A contiguous layer of graphene is formed on exposed sidewall surfaces and a topmost surface of a copper-containing structure that is present on a surface of a substrate. The presence of the contiguous layer of graphene on the copper-containing structure reduces copper oxidation and surface diffusion of copper ions and thus improves the electromigration resistance of the structure. These benefits can be obtained using graphene without increasing the resistance of copper-containing structure.
    Type: Grant
    Filed: July 18, 2012
    Date of Patent: February 11, 2014
    Assignee: International Business Machines Corporation
    Inventors: John A. Ott, Ageeth A. Bol
  • Patent number: 8610278
    Abstract: A contiguous layer of graphene is formed on exposed sidewall surfaces and a topmost surface of a copper-containing structure that is present on a surface of a substrate. The presence of the contiguous layer of graphene on the copper-containing structure reduces copper oxidation and surface diffusion of copper ions and thus improves the electromigration resistance of the structure. These benefits can be obtained using graphene without increasing the resistance of copper-containing structure.
    Type: Grant
    Filed: August 16, 2012
    Date of Patent: December 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: John A. Ott, Ageeth A. Bol
  • Patent number: 8536019
    Abstract: Apparatus and related fabrication methods are provided for semiconductor device structures having encapsulated isolation regions. An exemplary method for fabricating a semiconductor device structure involves the steps of forming an isolation region of a first dielectric material in the semiconductor substrate adjacent to a first region of the semiconductor material, forming a first layer of a second dielectric material overlying the isolation region and the first region, and removing the second dielectric material overlying the first region leaving portions of the second dielectric material overlying the isolation region intact. The isolation region is recessed relative to the first region, and the second dielectric material is more resistant to an etchant than the first dielectric material.
    Type: Grant
    Filed: May 17, 2011
    Date of Patent: September 17, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Ricardo P. Mikalo, Frank W. Wirbeleit
  • Patent number: 8492251
    Abstract: A thin layer structure includes a substrate, a blocking pattern that exposes part of an upper surface of the substrate, and a single crystalline semiconductor layer on the part of the upper surface of the substrate exposed by the pattern and in which all outer surfaces of the single crystalline semiconductor layer have a <100> crystallographic orientation. The thin layer structure is formed by an SEG process in which the temperature is controlled to prevent migration of atoms in directions towards the central portion of the upper surface of the substrate. Thus, sidewall surfaces of the layer will not be constituted by facets.
    Type: Grant
    Filed: August 28, 2012
    Date of Patent: July 23, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-Hoon Kang, Bong-Jin Kuh, Tae-Gon Kim, Han-Mei Choi, Ki-Chul Kim, Eun-Young Jo
  • Patent number: 8460992
    Abstract: A method of manufacturing a semiconductor device comprises forming a first insulator in the first area of a substrate and a second insulator formed in a second area of the substrate; forming an etching preventing film extending over the first device region surrounded by the first area and the second device region surrounded by the second area removing the etching preventing film from the first device region and first area forming a first gate insulating film over the first device region while the second device region and the second area are covered by the etching preventing film; removing the etching preventing film over the second device region and the second area forming a second gate insulating film over the second device region; and forming a first gate electrode on the first gate insulating film and forming a second gate electrode on the second gate insulating film.
    Type: Grant
    Filed: August 6, 2012
    Date of Patent: June 11, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Toru Anezaki
  • Patent number: 8450170
    Abstract: Provided are a semiconductor device and a method of forming the semiconductor device. The semiconductor device includes an active region of which an edge is curved. The semiconductor device includes a gate insulating layer, a floating gate, a gate interlayer dielectric layer and a control gate line on the active region. The semiconductor device includes an oxide pattern having a concave top surface between adjacent floating gates. The control gate may be sufficiently spaced apart from the active region by the oxide pattern. The method can provide a semiconductor device that includes a reoxidation process, an active region having a curved edge and an oxide pattern having a top surface of a curved concave shape.
    Type: Grant
    Filed: April 26, 2011
    Date of Patent: May 28, 2013
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Ki-Yeol Byun, Chan-Kwang Park, Jae-Hwan Moon, Tae-Wan Lim, Seung-Ah Kim
  • Publication number: 20130130448
    Abstract: The present disclosure provides a method for forming and controlling a molecular level SiO2 interface layer, mainly comprising: cleansing before growing the SiO2 interface layer, growing the molecular level ultra-thin SiO2 interface layer; and controlling reaction between high-K gate dielectric and the SiO2 interface layer to further reduce the SiO2 interface layer. The present disclosure can strictly prevent invasion of oxygen during process integration. The present disclosure can obtain a good-quality high-K dielectric film having a small EOT. The manufacturing process is simple and easy to integrate. It is also compatible with planar CMOS process, and can satisfy requirement of high-performance nanometer level CMOS metal gate/high-K device of 45 nm node and below.
    Type: Application
    Filed: February 28, 2012
    Publication date: May 23, 2013
    Inventors: Qiuxia Xu, Gaobo Xu
  • Patent number: 8441070
    Abstract: A sinker layer is in contact with a first conductivity-type well, and is separated from a first conductivity-type collector layer and a second conductivity-type drift layer. A second conductivity-type diffusion layer (second second-conductivity-type high-concentration diffusion layer) is formed in the surface layer of the sinker layer. The second conductivity-type diffusion layer has a higher impurity concentration than that of the sinker layer. The second conductivity-type diffusion layer and the first conductivity-type collector layer are isolated from each other with an element isolation insulating film interposed therebetween.
    Type: Grant
    Filed: August 6, 2012
    Date of Patent: May 14, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Hiroki Fujii
  • Patent number: 8394695
    Abstract: This semiconductor device includes a first device and a second device provided on a semiconductor substrate and having different breakdown voltages. More specifically, the semiconductor device includes a semiconductor substrate, a first region defined on the semiconductor substrate and having a first device formation region isolated by a device isolation portion formed by filling an insulator in a trench formed in the semiconductor substrate, a first device provided in the first device formation region, a second region defined on the semiconductor substrate separately from the first region and having a second device formation region, and a second device provided in the second device formation region and having a higher breakdown voltage than the first device, the second device having a drift drain structure in which a LOCOS oxide film thicker than a gate insulation film thereof is disposed at an edge of a gate electrode thereof.
    Type: Grant
    Filed: August 9, 2011
    Date of Patent: March 12, 2013
    Assignee: Rohm Co., Ltd.
    Inventor: Takamitsu Yamanaka
  • Publication number: 20130045577
    Abstract: The present invention discloses a manufacturing method of a high voltage device. The high voltage device is formed in a first conductive type substrate. The high-voltage device includes: a second conductive type buried layer; a first conductive type high voltage well; and a second conductive type body. The high voltage well is formed by the same step for forming a first conductive type well or a first conductive type channel stop layer of a low voltage device formed in the same substrate. The body is formed by the same step for forming a second conductive type well of the low voltage device.
    Type: Application
    Filed: October 21, 2011
    Publication date: February 21, 2013
    Inventors: Tsung-Yi Huang, Yuh-Chyuan Wang
  • Patent number: 8362558
    Abstract: A lateral-double diffused MOS device is provided. The device includes: a first well having a first conductive type and a second well having a second conductive type disposed in a substrate and adjacent to each other; a drain and a source regions having the first conductive type disposed in the first and the second wells, respectively; a field oxide layer (FOX) disposed on the first well between the source and the drain regions; a gate conductive layer disposed over the second well between the source and the drain regions extending to the FOX; a gate dielectric layer between the substrate and the gate conductive layer; a doped region having the first conductive type in the first well below a portion of the gate conductive layer and the FOX connecting to the drain region. A channel region is defined in the second well between the doped region and the source region.
    Type: Grant
    Filed: May 4, 2011
    Date of Patent: January 29, 2013
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Hsueh-I Huang, Chien-Wen Chu, Cheng-Chi Lin, Shih-Chin Lien, Chin-Pen Yeh, Shyi-Yuan Wu
  • Patent number: 8329564
    Abstract: A method is provided to fabricate a semiconductor device, where the method includes providing a substrate comprised of crystalline silicon; implanting a ground plane in the crystalline silicon so as to be adjacent to a surface of the substrate, the ground plane being implanted to exhibit a desired super-steep retrograde well (SSRW) implant doping profile; annealing implant damage using a substantially diffusionless thermal annealing to maintain the desired super-steep retrograde well implant doping profile in the crystalline silicon and, prior to performing a shallow trench isolation process, depositing a silicon cap layer over the surface of the substrate. The substrate may be a bulk Si substrate or a Si-on-insulator substrate. The method accommodates the use of an oxynitride gate stack structure or a high dielectric constant oxide/metal (high-K/metal) gate stack structure.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: December 11, 2012
    Assignee: International Business Machines Corporation
    Inventors: Jin Cai, Amlan Majumdar, Tak H. Ning, Zhibin Ren
  • Patent number: 8314463
    Abstract: A method is provided to fabricate a semiconductor device, where the method includes providing a substrate comprised of crystalline silicon; implanting a ground plane in the crystalline silicon so as to be adjacent to a surface of the substrate, the ground plane being implanted to exhibit a desired super-steep retrograde well (SSRW) implant doping profile; annealing implant damage using a substantially diffusionless thermal annealing to maintain the desired super-steep retrograde well implant doping profile in the crystalline silicon and, prior to performing a shallow trench isolation process, depositing a silicon cap layer over the surface of the substrate. The substrate may be a bulk Si substrate or a Si-on-insulator substrate. The method accommodates the use of an oxynitride gate stack structure or a high dielectric constant oxide/metal (high-K/metal) gate stack structure.
    Type: Grant
    Filed: August 18, 2009
    Date of Patent: November 20, 2012
    Assignee: International Business Machines Corporation
    Inventors: Jin Cai, Amlan Majumdar, Tak H. Ning, Zhibin Ren
  • Patent number: 8264037
    Abstract: A sinker layer is in contact with a first conductivity-type well, and is separated from a first conductivity-type collector layer and a second conductivity-type drift layer. A second conductivity-type diffusion layer (second second-conductivity-type high-concentration diffusion layer) is formed in the surface layer of the sinker layer. The second conductivity-type diffusion layer has a higher impurity concentration than that of the sinker layer. The second conductivity-type diffusion layer and the first conductivity-type collector layer are isolated from each other with an element isolation insulating film interposed therebetween.
    Type: Grant
    Filed: January 20, 2012
    Date of Patent: September 11, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Hiroki Fujii
  • Patent number: 8263453
    Abstract: A method far farming different active thicknesses on the same silicon layer includes masking the silicon layer and exposing selected regions of the silicon layer. The thickness of the silicon layer at the exposed regions is changed, either by adding silicon or subtracting silicon from the layer at the exposed regions. Once the mask is removed, the silicon layer has regions of different active thicknesses, respectively suitable for use in different types of devices, such as diodes and transistors.
    Type: Grant
    Filed: July 15, 2011
    Date of Patent: September 11, 2012
    Assignee: Advanced Micro Devices, Inc.
    Inventors: David E. Brown, Hans Van Meer, Sey-Ping Sun
  • Patent number: 8260098
    Abstract: An optocoupler device facilitates on-chip galvanic isolation. In accordance with various example embodiments, an optocoupler circuit includes a silicon-on-insulator substrate having a silicon layer on a buried insulator layer, a silicon-based light-emitting diode (LED) having a silicon p-n junction in the silicon layer, and a silicon-based photodetector in the silicon layer. The LED and photodetector are respectively connected to galvanically isolated circuits in the silicon layer. A local oxidation of silicon (LOCOS) isolation material and the buried insulator layer galvanically isolate the first circuit from the second circuit to prevent charge carriers from moving between the first and second circuits. The LED and photodetector communicate optically to pass signals between the galvanically isolated circuits.
    Type: Grant
    Filed: February 17, 2011
    Date of Patent: September 4, 2012
    Assignee: NXP B.V.
    Inventors: Dusan Golubovic, Gerhard Koops, Tony Vanhoucke, Rob Van Dalen
  • Patent number: 8173505
    Abstract: A method includes forming a first layer of gate material over a semiconductor substrate; forming a hard mask layer over the first layer; forming an opening; forming a charge storage layer over the hard mask layer and within the opening; forming a second layer of gate material over the charge storage layer; removing a portion of the second layer and a portion of the charge storage layer which overlie the hard mask layer, wherein a second portion of the second layer remains within the opening; forming a patterned masking layer over the hard mask layer and over the second portion, wherein the patterned masking layer defines both a first and second bitcell; and forming the first and second bitcell using the patterned masking layer, wherein each of the first and second bitcell comprises a select gate made from the first layer and a control gate made from the second layer.
    Type: Grant
    Filed: October 20, 2008
    Date of Patent: May 8, 2012
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Matthew T. Herrick, Ko-Min Chang, Gowrishankar L. Chindalore, Sung-Taeg Kang
  • Patent number: 8124471
    Abstract: A method of grinding a molded semiconductor package to a desired ultra thin thickness without damage to the package is disclosed. Prior to grinding a molded package to a desired package thickness, the package may be protected from excessive mechanical stress generated during grinding by applying a protective tape to enclose interconnects formed on the package. This way, the protective tape provides support to the semiconductor package during package grinding involving the mold material as well as the die. In the post-grind package, the grinded die surface may be exposed and substantially flush with the mold material. The protective tape may then be removed to prepare the post-grind package for connection with an external device or PCB.
    Type: Grant
    Filed: March 11, 2008
    Date of Patent: February 28, 2012
    Assignee: Intel Corporation
    Inventors: James-Yii Lee Kiong, Chong Hin Tan, Shivaram Sahadevan, Max Mah Boon Hooi, Tang Shiau Phing
  • Patent number: 8125031
    Abstract: A lateral-double diffused MOS device is provided. The device includes: a first well having a first conductive type and a second well having a second conductive type disposed in a substrate and adjacent to each other; a drain and a source regions having the first conductive type disposed in the first and the second wells, respectively; a field oxide layer (FOX) disposed on the first well between the source and the drain regions; a gate conductive layer disposed over the second well between the source and the drain regions extending to the FOX; a gate dielectric layer between the substrate and the gate conductive layer; a doped region having the first conductive type in the first well below a portion of the gate conductive layer and the FOX connecting to the drain region. A channel region is defined in the second well between the doped region and the source region.
    Type: Grant
    Filed: May 4, 2011
    Date of Patent: February 28, 2012
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Hsueh-I Huang, Chien-Wen Chu, Cheng-Chi Lin, Shih-Chin Lien, Chin-Pen Yeh, Shyi-Yuan Wu
  • Patent number: 8120104
    Abstract: A sinker layer is in contact with a first conductivity-type well, and is separated from a first conductivity-type collector layer and a second conductivity-type drift layer. A second conductivity-type diffusion layer (second second-conductivity-type high-concentration diffusion layer) is formed in the surface layer of the sinker layer. The second conductivity-type diffusion layer has a higher impurity concentration than that of the sinker layer. The second conductivity-type diffusion layer and the first conductivity-type collector layer are isolated from each other with an element isolation insulating film interposed therebetween.
    Type: Grant
    Filed: January 31, 2011
    Date of Patent: February 21, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Hiroki Fujii
  • Patent number: 8049231
    Abstract: Emissive quantum photonic imagers comprised of a spatial array of digitally addressable multicolor pixels. Each pixel is a vertical stack of multiple semiconductor laser diodes, each of which can generate laser light of a different color. Within each multicolor pixel, the light generated from the stack of diodes is emitted perpendicular to the plane of the imager device via a plurality of vertical waveguides that are coupled to the optical confinement regions of each of the multiple laser diodes comprising the imager device. Each of the laser diodes comprising a single pixel is individually addressable, enabling each pixel to simultaneously emit any combination of the colors associated with the laser diodes at any required on/off duty cycle for each color. Each individual multicolor pixel can simultaneously emit the required colors and brightness values by controlling the on/off duty cycles of their respective laser diodes.
    Type: Grant
    Filed: March 19, 2010
    Date of Patent: November 1, 2011
    Assignee: Ostendo Technologies, Inc.
    Inventors: Hussein S. El-Ghoroury, Robert G. W. Brown, Dale A. McNeill, Huibert DenBoer, Andrew J. Lanzone
  • Patent number: 8003459
    Abstract: A method for forming different active thicknesses on the same silicon layer includes masking the silicon layer and exposing selected regions of the silicon layer. The thickness of the silicon layer at the exposed regions is changed, either by adding silicon or subtracting silicon from the layer at the exposed regions. Once the mask is removed, the silicon layer has regions of different active thicknesses, respectively suitable for use in different types of devices, such as diodes and transistors.
    Type: Grant
    Filed: January 21, 2010
    Date of Patent: August 23, 2011
    Assignee: Advanced Micro Devices, Inc.
    Inventors: David E. Brown, Hans Van Meer, Sey-Ping Sun
  • Patent number: 7998806
    Abstract: A method of manufacturing a semiconductor device includes forming an oxidation film over a first and a second device region, forming an first etching preventing film extending over a first and a second area, removing the first etching preventing film over the first area; removing the oxidation film over the first device region, forming a first gate insulating film over the first device region, removing the oxidation film over the second device region, forming a second gate insulating film over the second device region, forming a first gate electrode over the first gate insulating film, forming a second gate electrode over the second gate insulating film, forming first source and drain regions in the first device region at both sides of the first gate electrode, and forming second source and drain regions in the second device region at both sides of the second gate electrode.
    Type: Grant
    Filed: August 7, 2008
    Date of Patent: August 16, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Toru Anezaki
  • Patent number: 7947561
    Abstract: Methods of fabricating an oxide layer on a semiconductor substrate are provided herein. The oxide layer may be formed over an entire structure disposed on the substrate, or selectively formed on a non-metal containing layer with little or no oxidation of an exposed metal-containing layer. The methods disclosed herein may be performed in a variety of process chambers, including but not limited to decoupled plasma oxidation chambers, rapid and/or remote plasma oxidation chambers, and/or plasma immersion ion implantation chambers. In some embodiments, a method may include providing a substrate comprising a metal-containing layer and non-metal containing layer; and forming an oxide layer on an exposed surface of the non-metal containing layer by exposing the substrate to a plasma formed from a process gas comprising a hydrogen-containing gas, an oxygen-containing gas, and at least one of a supplemental oxygen-containing gas or a nitrogen-containing gas.
    Type: Grant
    Filed: March 11, 2009
    Date of Patent: May 24, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Rajesh Mani, Norman Tam, Timothy W. Weidman, Yoshitaka Yokota
  • Publication number: 20110084324
    Abstract: Radiation hardened NMOS devices suitable for application in NMOS, CMOS, or BiCMOS integrated circuits, and methods for fabricating them. A device includes a p-type silicon substrate, a field oxide surrounding a moat region on the substrate tapering through a bird's beak region to a gate oxide within the moat region, a heavily-doped p-type guard region underlying at least a portion of the bird's beak region and terminating at the inner edge of the bird's beak region, a gate crossing the moat region, and n-type source and drain regions spaced by a gap from the inner edge of the guard region. A variation of a local oxidation of silicon process is used with an additional bird's beak implantation mask as well as minor alterations to the conventional moat and n-type source/drain masks. The resulting devices have improved radiation tolerance while having a high breakdown voltage and minimal impact on circuit density.
    Type: Application
    Filed: October 9, 2009
    Publication date: April 14, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Emily Ann Donnelly, Byron Neville Burgess, Randolph W. Kahn, Todd Douglas Stubblefield
  • Patent number: 7883976
    Abstract: A semiconductor device and method for manufacturing the device with a planar halo profile is provided. The semiconductor device can be a MOSFET. The method of forming the structure includes forming an angled spacer adjacent a gate structure and implanting a halo implant at an angle to form a halo profile having low dopant concentration near a gate dielectric under the gate structure. The structure includes an underlying wafer or substrate and an angled gate spacer having an upper portion and an angled lower portion. The upper portion is structured to prevent halo dopants from penetrating an inversion layer of the structure. The structure further includes a low concentration halo dopant within a channel of a gate structure.
    Type: Grant
    Filed: December 13, 2007
    Date of Patent: February 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Jing Wang
  • Patent number: 7863145
    Abstract: A method for fabricating an LCOS device. The method includes providing a semiconductor substrate and forming a plurality of MOS transistor devices formed on a portion of the semiconductor substrate. The method includes forming a first dielectric layer overlying the plurality of transistor devices and forming a first metal layer overlying the first dielectric layer. The method includes forming a second dielectric layer overlying the first metal layer and forming a plurality of pixel regions made substantially of silver bearing material overlying the second dielectric layer. In a preferred embodiment, the silver bearing material has much higher reflectivity for wavelengths of 450 nanometers and greater.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: January 4, 2011
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Yanghui Oliver Xiang, Enlian Lu
  • Patent number: 7820504
    Abstract: Embodiments of this invention relate to a method for manufacturing isolation structures with different depths in a monolithically integrated semiconductor electronic device. An inventive method according to an embodiment of the invention comprises a first step of defining active areas on a semiconductor material substrate, a second step of forming isolation structures by realising trenches in said substrate and then filling them with field oxide, a third step of defining lithographically at least a first device area, and a fourth step of realising a digging in the substrate and in the field oxide of said first device area.
    Type: Grant
    Filed: July 12, 2004
    Date of Patent: October 26, 2010
    Inventors: Daniela Brazzelli, Livio Baldi, Giorgio Servalli
  • Publication number: 20100187606
    Abstract: A manufacturing method of a semiconductor device including an LDMOS transistor includes: a process (a) of forming a first conductive well diffusion layer in the semiconductor substrate; a process (b) of sequentially forming a gate insulator film, a gate conductive film, and a photoresist film on a region on the semiconductor substrate corresponding to the well diffusion layer; a process (c) of performing photolithography to remove a part of the photoresist film formed in a predetermined region, and etching the gate conductive film using a remaining part of the photoresist film as a mask so as to form an opening in the predetermined region; a process (d) of doping second conductive impurity ions using a remaining part of the gate conductive film and the remaining part of the photoresist film as a mask so as to form the body layer; and a process (e) of removing the remaining part of the gate conductive film except a part corresponding to the gate electrode formed based on a part that constitutes a lateral surfa
    Type: Application
    Filed: January 20, 2010
    Publication date: July 29, 2010
    Inventors: Yasushi KOBAYASHI, Masaki Inoue, Kohei Miyagawa
  • Patent number: 7736963
    Abstract: In an embodiment, a method of forming a gate structure for a semiconductor device includes forming a preliminary gate structure on a semiconductor substrate. The preliminary gate structure includes a gate oxide pattern and a conductive pattern sequentially stacked on the substrate. Then, a re-oxidation process is performed to the substrate having the preliminary gate structure using an oxygen radical including at least one oxygen atom, so that an oxide layer is formed on a surface of the substrate and sidewalls of the preliminary gate structure to form the gate structure for a semiconductor device. The thickness of the gate oxide pattern is prevented from increasing, and the quality of the oxide layer is improved.
    Type: Grant
    Filed: July 5, 2005
    Date of Patent: June 15, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Woong Lee, Young-Sub You, Hun-Hyeoung Leam, Yong-Woo Hyung, Jai-Dong Lee, Ki-Su Na, Jung-Hwan Kim
  • Patent number: 7718505
    Abstract: The method of forming a semiconductor structure in a substrate comprises, forming a first trench with a first width We and a second trench with a second width Wc, wherein the first width We is larger than the second width Wc, depositing a protection material, lining the first trench, covering the substrate surface and filling the second trench and removing partially the protection material, wherein a lower portion of the second trench remains filled with the protection material.
    Type: Grant
    Filed: June 22, 2007
    Date of Patent: May 18, 2010
    Assignee: Infineon Technologies Austria AG
    Inventors: Nicola Vannucci, Hubert Maier
  • Patent number: 7666735
    Abstract: A method for forming different active thicknesses on the same silicon layer includes masking the silicon layer and exposing selected regions of the silicon layer. The thickness of the silicon layer at the exposed regions is changed, either by adding silicon or subtracting silicon from the layer at the exposed regions. Once the mask is removed, the silicon layer has regions of different active thicknesses, respectively suitable for use in different types of devices, such as diodes and transistors.
    Type: Grant
    Filed: February 10, 2005
    Date of Patent: February 23, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: David E. Brown, Hans Van Meer, Sey-Ping Sun
  • Patent number: 7666756
    Abstract: An structure for electrically isolating a semiconductor device is formed by implanting dopant into a semiconductor substrate that does not include an epitaxial layer. Following the implant the structure is exposed to a very limited thermal budget so that dopant does not diffuse significantly. As a result, the dimensions of the isolation structure are limited and defined, thereby allowing a higher packing density than obtainable using conventional processes which include the growth of an epitaxial layer and diffusion of the dopants. In one group of embodiments, the isolation structure includes a deep layer and a sidewall which together form a cup-shaped structure surrounding an enclosed region in which the isolated semiconductor device may be formed. The sidewalls may be formed by a series of pulsed implants at different energies, thereby creating a stack of overlapping implanted regions.
    Type: Grant
    Filed: August 14, 2004
    Date of Patent: February 23, 2010
    Assignees: Advanced Analogic Technologies, Inc., Advanced Analogic Technologies (Hong Kong) Limited
    Inventors: Richard K. Williams, Michael E. Cornell, Wai Tien Chan
  • Patent number: 7605095
    Abstract: A heat processing method for a semiconductor process includes placing a plurality of target substrates stacked at intervals in a vertical direction within a process field of a process container. Each of the target substrates includes a process object layer on its surface. Then, the method includes supplying an oxidizing gas and a deoxidizing gas to the process field while heating the process field, thereby causing the oxidizing gas and the deoxidizing gas to react with each other to generate oxygen radicals and hydroxyl group radicals, and performing oxidation on the process object layer of the target substrates by use of the oxygen radicals and the hydroxyl group radicals. Then, the method includes heating the process object layer processed by the oxidation, within an atmosphere of an annealing gas containing ozone or oxidizing radicals, thereby performing annealing on the process object layer.
    Type: Grant
    Filed: February 6, 2008
    Date of Patent: October 20, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Toshiyuki Ikeuchi, Kota Umezawa, Tetsuya Shibata
  • Patent number: 7601583
    Abstract: A memory device includes an active area protruding from a semiconductor substrate. A recess is formed in the active area. A field oxide layer is formed on the semiconductor substrate. A gate electrode extends across the active area while being overlapped with the recess. A gate insulation layer is interposed between the gate electrode and the active area. Source and drain areas are formed in the active area. The transistor structure above defines a recessed transistor structure if it is sectioned along a source-drain line and defines a Fin transistor structure if it is sectioned along a gate line. The transistor structure ensures sufficient data retention time and improves the current drivability while lowering the back bias dependency of a threshold voltage.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: October 13, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Se Aug Jang, Yong Soo Kim, Jae Geun Oh, Jae Sung Rohh, Hyun Chul Sohn
  • Patent number: 7598569
    Abstract: A semiconductor device including: a semiconductor layer; a transistor formed in the semiconductor layer and including a gate insulating layer and a gate electrode, the transistor being a high voltage transistor in which an insulating layer having a thickness greater than the thickness of the gate insulating layer is formed under an end portion of the gate electrode; an interlayer dielectric formed above the transistor; and an electrode pad formed above the interlayer dielectric and positioned over at least part of the gate electrode when viewed from a top side.
    Type: Grant
    Filed: May 5, 2006
    Date of Patent: October 6, 2009
    Assignee: Seiko Epson Corporation
    Inventors: Akinori Shindo, Masatoshi Tagaki, Hideaki Kurita
  • Patent number: 7577493
    Abstract: A temperature regulating method in a thermal processing system includes controlling a heating means by performing integral operation, differential operation and proportional operation by means of a heating control section in a manner a detection temperature by a temperature detecting means becomes a predetermined target temperature, determining a first output control pattern by patterning a first operation amount for the heating control section to control the heating means depending upon a detection temperature detected by a first temperature detecting means, in controlling the heating means controlling the heating means by means of the heating control section depending upon the first output control pattern determined, and determining a second output control pattern by patterning at least a part of a second operation amount for the heating control section to control the heating means depending upon a detection temperature detected by a second temperature detecting means, in controlling the heating means.
    Type: Grant
    Filed: November 28, 2005
    Date of Patent: August 18, 2009
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Masashi Sugishita, Masaaki Ueno
  • Patent number: 7553717
    Abstract: A PMOS transistor and a method for fabricating a PMOS transistor. The method may include providing a semiconductor wafer having a PMOS transistor gate stack, source/drain extension regions, and active regions. The method may also include forming epi sidewalls, performing a ex-situ recess etch, and performing an in-situ recess etch. The ex-situ recess etch and the in-situ recess etch form recessed active regions. The PMOS transistor is formed by a method using ex-situ and in-situ etch and has epitaxial SiGe regions with a greatest width at the surface of the semiconductor wafer.
    Type: Grant
    Filed: May 11, 2007
    Date of Patent: June 30, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Srinivasan Chakravarthi, Periannan Chidambaram, Johan Weijtmans
  • Patent number: 7524721
    Abstract: A method of fabricating a high voltage CMOS device is provided that does not require a separate mask for forming a photo align key when forming a high voltage deep well region. The method includes forming a relatively thick first oxide film pattern exposing a predetermined region of a semiconductor substrate; forming a second oxide film pattern on the exposed semiconductor substrate; and forming a high voltage deep well region by performing an ion implant and an annealing using the first oxide film pattern as a mask. The second oxide film pattern is diffused by means of the annealing to generate a step on the high voltage deep well region. The step can be used as a photo align key.
    Type: Grant
    Filed: August 21, 2007
    Date of Patent: April 28, 2009
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Kwang Young Ko
  • Patent number: 7510927
    Abstract: The present invention discloses a method including: providing a substrate; forming a buried oxide layer over the substrate; forming a thin silicon body layer over the buried oxide layer, the thin silicon body layer having a thickness of 3-40 nanometers; forming a pad oxide layer over the thin silicon body layer; forming a silicon nitride layer over the pad oxide layer; forming a photoresist over the silicon nitride layer; forming an opening in the photoresist; removing the silicon nitride layer in the opening; partially or completely removing the pad oxide layer in the opening; removing the photoresist over the silicon nitride layer; forming a field oxide layer from the thin silicon body layer in the opening; removing the silicon nitride layer over the pad oxide layer; and removing the pad oxide layer over the thin silicon body layer.
    Type: Grant
    Filed: December 26, 2002
    Date of Patent: March 31, 2009
    Assignee: Intel Corporation
    Inventors: Mark Bohr, Julie Tsai
  • Patent number: 7482243
    Abstract: The present invention provides a method of forming a thin channel MOSFET having low external resistance. The method comprises forming a dummy gate region atop a substrate; implanting oxide forming dopant through said dummy gate to create a localized oxide region in a portion of the substrate aligned to the dummy gate region that thins a channel region; forming source/drain extension regions abutting said channel region; and replacing the dummy gate with a gate conductor.
    Type: Grant
    Filed: May 18, 2006
    Date of Patent: January 27, 2009
    Assignee: International Business Machines Corporation
    Inventors: Diane C. Boyd, Bruce B. Doris, Meikei Ieong, Devendra K. Sadana
  • Patent number: 7432167
    Abstract: The present invention provides a method of fabricating strained silicon channel MOS transistor, comprising providing a substrate, forming at least a gate structure on the substrate, forming a mask layer on the gate structure, performing an etching process to form two recesses corresponding to the gate structure within the substrate, performing a selective epitaxial growth (SEG) process to form an epitaxial layer in the recesses respectively, and performing an ion implantation process for the epitaxial layers to form a source/drain region.
    Type: Grant
    Filed: January 10, 2007
    Date of Patent: October 7, 2008
    Assignee: United Microelectronics Corp.
    Inventor: Chao-Ching Hsieh
  • Patent number: RE41538
    Abstract: A method for making an integrated circuit device includes forming at least one interconnect structure adjacent a substrate by forming at least one barrier layer, forming a doped copper seed layer on the at least one barrier layer, and forming a copper layer on the doped copper seed layer. The method may further include annealing the integrated circuit device after forming the copper layer to diffuse the dopant from the doped copper seed layer into grain boundaries of the copper layer. The doped copper seed layer may include at least one of calcium, cadmium, zinc, neodymium, tellurium, and ytterbium as a dopant to provide the enhanced electromigration resistance. Forming the copper layer may comprise plating the copper layer. In addition, forming the copper layer may comprise forming the copper layer to include at least one of calcium, cadmium, zinc, neodymium, tellurium, and ytterbium as a dopant.
    Type: Grant
    Filed: April 22, 2005
    Date of Patent: August 17, 2010
    Inventor: James A. Cunningham