Plural Doping Steps Patents (Class 438/231)
  • Patent number: 6509212
    Abstract: A linear laser light which has an energy and is to be scanned is irradiated to a semiconductor device formed on a substrate, and then the substrate is rotated to irradiate to the semiconductor device a linear laser light which has a higher energy than that of the irradiated linear laser light and is to be scanned. Also, in a semiconductor device having an analog circuit region and a remaining circuit region wherein the analog circuit region is smaller than the remaining circuit region, a linear laser light having an irradiation area is irradiated to the analog circuit region without moving the irradiation area so as not to overlap the laser lights by scanning. On the other hand, the linear laser light to be scanned is irradiated to the remaining circuit region.
    Type: Grant
    Filed: January 26, 1999
    Date of Patent: January 21, 2003
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hongyong Zhang, Naoaki Yamaguchi, Yasuhiko Takemura
  • Patent number: 6509223
    Abstract: The present invention provides a method for forming an embedded memory MOS. The method involves first forming a first dielectric layer and an undoped polysilicon layer, respectively, on the surface of the semiconductor wafer with a defined memory array area and a periphery circuits region. Then, the undoped polysilicon layer in the memory array area is doped to become a doped polysilicon layer, followed by the formation of a protective layer on the surface of the semiconductor wafer. Thereafter, a first photolithographic and etching process(PEP) is used to etch the protective layer and the doped polysilicon layer in the memory array area to form a plurality of gates, and to form lightly doped drains(LDD) adjacent to each gate. A silicon nitride layer and a second dielectric layer are formed, followed by their removal in the periphery circuits region.
    Type: Grant
    Filed: January 19, 2001
    Date of Patent: January 21, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Sun-Chieh Chien, Chien-Li Kuo
  • Patent number: 6509235
    Abstract: The present invention provides a method for forming an embedded memory MOS. The method involves first forming a dielectric layer and an undoped polysilicon layer, respectively, on the surface of the semiconductor wafer with a defined memory array area and a periphery circuits region. Then, the undoped polysilicon layer in the memory array area is doped to become a doped polysilicon layer. Thereafter, a protective layer is formed on the surface of the semiconductor wafer, followed by a first photolithographic and etching process (PEP) to define a plurality of gate patterns in the protective layer in the memory array area. Then, a second PEP is applied to etch the undoped polysilicon layer in the periphery circuits region and the doped polysilicon layer in the memory array area to simultaneously form a gate of each MOS in the periphery circuits region and the memory array area.
    Type: Grant
    Filed: January 19, 2001
    Date of Patent: January 21, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Sun-Chieh Chien, Chien-Li Kuo
  • Patent number: 6506642
    Abstract: Submicron-dimensioned MOS and/or CMOS transistors are fabricated utilizing a simplified removable sidewall spacer technique, enabling effective tailoring of individual transistors to optimize their respective functionality. Embodiments include forming a first sidewall spacer having a first thickness on the side surfaces of a plurality of gate electrodes of transistors, selectively removing the first sidewall spacers from the gate electrodes of certain transistors, and then depositing second sidewall spacers on remaining first sidewall spacers and on the side surfaces of the gate electrodes from which the first sidewall spacers have been removed. Embodiments enable separately tailoring n- and p-MOS transistors as well as individual n- or p-MOS transistors having different functionality, e.g., different drive current and voltage leakage requirements.
    Type: Grant
    Filed: December 19, 2001
    Date of Patent: January 14, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Scott D. Luning, Jon D. Cheek, Daniel Kadosh, James F. Buller, David E. Brown
  • Patent number: 6503788
    Abstract: In order to realize a dual gate CMOS semiconductor device with little leakage of boron that makes it possible to divisionally doping a p-type impurity and an n-type impurity into a polycrystalline silicon layer with one mask, a gate electrode has a high melting point metal/metallic nitride barrier/polycrystalline silicon structure. The boron is pre-doped in the polycrystalline silicon layer. The phosphorus or arsenic is doped in an n-channel area. Then, the annealing in a hydrogen atmosphere with vapor added therein is performed. As a result, the boron is segregated on the interface of the metallic nitride film and the phosphorus is segregated on the interface of the gate oxide film, for forming an n+ gate.
    Type: Grant
    Filed: August 16, 2000
    Date of Patent: January 7, 2003
    Assignee: Hitachi, Ltd.
    Inventor: Naoki Yamamoto
  • Patent number: 6503789
    Abstract: A semiconductor contact structure for a merged dynamic random access memory and a logic circuit (MDL) and a method of manufacturing the contact structure to: (i) a cell contact pad; (ii) at least one active region; and (iii) at least one gate electrode simulaneously, whereby an electric short between the gate electrodes and the cell contact pad is avoided, even in the event a lithographic misalignment occurs and whereby it is possible to obtain an overlap margin in the cell region, even with an improved metal contact to the gate electrode in the peripheral circuit region of the semiconductor device.
    Type: Grant
    Filed: July 5, 2000
    Date of Patent: January 7, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong Ki Kim, Duck Hyung Lee
  • Patent number: 6500720
    Abstract: Hydrogen ions (40) of high concentration are implanted into an amorphous silicon film (21). By implantation of the hydrogen ions (40), a hydrogen-ion implantation layer (41) is formed in the amorphous silicon film (21). Subsequently, by performing a heat treatment, a columnar grain is formed in a portion of the amorphous silicon film (21) other than the portion in which the hydrogen-ion implantation layer (41) is formed. On the other hand, in the hydrogen-ion implantation layer (41), a granular grain is formed. A granular grain layer (42) has a lot of grain boundaries extending multidirectionally, such as a grain boundary extending along a direction of film thickness of a polysilicon film (44a) and a grain boundary extending along a direction other than that of film thickness of the polysilicon film (44a). Thus, it is possible to achieve a method of manufacturing a semiconductor device which can appropriately suppress variation in threshold voltage which is caused by penetration of dopant.
    Type: Grant
    Filed: September 25, 2001
    Date of Patent: December 31, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Tatsuya Kunikiyo
  • Patent number: 6486012
    Abstract: An n-channel type field effect transistor and a p-channel type field effect transistor are fabricated on a p-type well and an n-type well, respectively, and the arsenic-doped gate electrode of the n-channel type field effect transistor is thinner than the boron-doped gate electrode of the p-channel type field effect transistor so that the arsenic and the boron are appropriately diffused in the gate electrodes during a rapid annealing.
    Type: Grant
    Filed: July 12, 2000
    Date of Patent: November 26, 2002
    Assignee: NEC Corporation
    Inventor: Atsuki Ono
  • Patent number: 6486108
    Abstract: A composition for use in semiconductor processing wherein the composition comprises water, phosphoric acid, and an organic acid; wherein the organic acid is ascorbic acid or is an organic acid having two or more carboxylic acid groups (e.g., citric acid). The water can be present in about 40 wt. % to about 85 wt. % of the composition, the phosphoric acid can be present in about 0.01 wt. % to about 10 wt. % of the composition, and the organic acid can be present in about 10 wt. % to about 60 wt. % of the composition. The composition can be used for cleaning various surfaces, such as, for example, patterned metal layers and vias by exposing the surfaces to the composition.
    Type: Grant
    Filed: May 31, 2000
    Date of Patent: November 26, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Donald L. Yates, Max F. Hineman
  • Publication number: 20020173093
    Abstract: A disposable spacer for use in a semiconductor device fabrication process is formed of a germanium-silicon alloy. The germanium-silicon alloy may include a first portion (x) of germanium and a second portion (1−x) of silicon, wherein x is greater than about 0.2. A method of forming the disposal spacer includes providing a device structure and forming a layer of germanium-silicon alloy on the device structure. The layer is then etched to form the disposable spacer. The device structure may include a substrate and a gate structure with the disposable spacers formed at sidewalls thereof. Further, the device structure may include a substrate having an oxidation mask formed thereon with the disposable spacers formed relative to sidewalls of the oxidation mask. In addition, the method includes removing the disposable spacer by oxidizing the spacer to form volatile GexSiyO. Any unvolatilized GexSiyO may be removed using water.
    Type: Application
    Filed: July 25, 2002
    Publication date: November 21, 2002
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Werner Juengling
  • Patent number: 6482703
    Abstract: A method of fabricating an HV-I/O ESD MOS device comprising the following steps. A structure having a first device region, a second device region and an HV-I/O ESD MOS device region is provided. A gate is formed over an oxide layer within the first device region. A gate is formed over an oxide layer within the second device region. A gate is formed over an oxide layer within the HV-I/O ESD MOS device region. The first device gate oxide layer is thinner than the second device gate oxide layer and the HV-I/O ESD MOS device gate oxide layer. The gate and oxide layers within each region have exposed side walls. An LV-LDD mask is formed over the gate and the structure within the second device region. An LV-LDD implant is performed into the structure adjacent the first device gate and the HV-I/O ESD MOS device gate to form first device LV-LDD implants and HV-I/O ESD MOS device LV-LDD implants. The LV-LDD mask is removed. An HV-LDD mask is formed over the gate and the structure within the first device region.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: November 19, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Ta-Lee Yu
  • Patent number: 6479350
    Abstract: CMOS semiconductor devices comprising MOS transistors of different channel conductivity type are formed in or on a common semiconductor substrate using a minimum number of critical masks. Embodiments include forming conductive gate/insulator layer stacks on spaced-apart, different conductivity portions of the main surface of the substrate, forming etch-resistant inner sidewall spacers on side surfaces of the layer stacks, and forming easily etched, amorphous semiconductor disposable outer sidewall spacers on the inner sidewall spacers. The use of disposable outer sidewall spacers allows heavy and light source/drain implantations of opposite conductivity type to be performed for forming PMOS and NMOS transistors with the use of only two critical masks, thereby reducing production cost and duration, while increasing manufacturing throughput.
    Type: Grant
    Filed: August 17, 2000
    Date of Patent: November 12, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Zicheng Gary Ling, Todd Lukanc, Raymond T. Lee
  • Patent number: 6479339
    Abstract: A mixed voltage CMOS process for high reliability and high performance core transistors and input-output and analog transistors with reduced mask steps. A patterned silicon nitride film 160 is used to selectively mask various implant species during the formation of the LDD regions 180, 220, and the pocket regions 190, 230 of the core transistors 152, 154. The LDD regions 240, 200 of the I/O or analog transistors 156, 158 are simultaneously formed during the process.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: November 12, 2002
    Assignee: Texas Instruments Incorporated
    Inventors: Mahalingam Nandakumar, Amitava Chatterjee
  • Patent number: 6472282
    Abstract: A method of manufacturing an integrated circuit may include the steps of forming a deep amorphous region and doping the deep amorphous region. The doping of the deep amorphous region can form source and drain regions with extensions. After doping, the substrate is annealed. The annealing can occur at a low temperature. The deep amorphous region can be formed with a self-amorphizing implant.
    Type: Grant
    Filed: August 15, 2000
    Date of Patent: October 29, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6472283
    Abstract: Submicron-dimensioned MOS and/or CMOS transistors are fabricated by a process employing removable sidewall spacers made of a material, such as UV-nitride, which is readily etched in its as-deposited, undensified state but difficult-to-etch in its thermally annealed, densified state. The as-deposited, undensified spacers are removed by etching with dilute aqueous HF after implantation of moderately or heavily-doped source/drain regions but prior to annealing of the implants for dopant diffusion/activation and lattice damage relaxation. Lightly- or moderately doped, shallow-depth source/drain extensions are implanted and annealed after spacer removal.
    Type: Grant
    Filed: September 22, 2000
    Date of Patent: October 29, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Emi Ishida, Srinath Krishman, Ming Yin Hao, Effiong Ibok
  • Patent number: 6468851
    Abstract: A method of fabricating a dual gate electrode CMOS device having dual gate electrodes. An N+ poly gate is used for the nMOSFET and a metal gate is used for the pMOSFET. The N+ nMOSFET poly gate may be capped with a highly conductive metal to reduce its gate resistance. A sacrificial cap is used for the N+ poly gate to eliminate a mask level for the dual gate electrodes.
    Type: Grant
    Filed: January 2, 2002
    Date of Patent: October 22, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Chew-Hoe Ang, Eng-Hua Lim, Randall Cher Liang Cha, Jia-Zhen Zheng, Elgin Kiok Boone Quek, Mei-Sheng Zhou, Daniel Lee-Wei Yen
  • Patent number: 6465303
    Abstract: One aspect of the present invention relates to a method of forming spacers in a silicon-oxide-nitride-oxide-silicon (SONOS) type nonvolatile semiconductor memory device, involving the steps of providing a semiconductor substrate having a core region and periphery region, the core region containing SONOS type memory cells and the periphery region containing gate transistors; implanting a first implant into the core region and a first implant into the periphery region of the semiconductor substrate; forming a spacer material over the semiconductor substrate; masking the core region and forming spacers adjacent the gate transistors in the periphery region; and implanting a second implant into the periphery region of the semiconductor substrate.
    Type: Grant
    Filed: June 20, 2001
    Date of Patent: October 15, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark T. Ramsbey, Narbeh Derhacobian, Janet Wang, Angela Hui, Tuan Pham, Ravi Sunkavalli, Mark Randolph
  • Patent number: 6465295
    Abstract: A semiconductor device fabrication method comprises the steps of forming a gate insulating film on a surface of a semiconductor substrate, forming a polysilicon film on the gate insulating film, and implanting B or BF2 impurity ions into the polysilicon film. The polysilicon film is then heat-treated at a temperature of 700-900° C. to activate and diffuse the implanted B or BF2 impurity ions. Thereafter, a silicide film is formed on the heat-treated polysilicon film. The silicide film and the heat-treated polysilicon film are then etched to form a gate electrode on the gate insulating film. A CVD-grown dielectric film having a thickness of 5 to 1000 Å is then formed over the whole surface of the semiconductor substrate and the gate electrode. B or BF2 impurity ions are then implanted into the surface of the semiconductor substrate, using the gate electrode as a mask, to form source/drain regions.
    Type: Grant
    Filed: March 22, 1996
    Date of Patent: October 15, 2002
    Assignee: Seiko Instruments Inc.
    Inventor: Kenji Kitamura
  • Patent number: 6461908
    Abstract: A method of manufacturing a semiconductor device including a PMOS transistor (6) and an NMOS transistor (5) comprises the steps of: (a) providing a semiconductor substrate (1) having a P-well region (3), which is to be provided with the NMOS transistor (5), and an N-well region (2), which is to be provided with the PMOS transistor (6); (b) forming gate electrodes (8) on the P-well region (3) and the N-well region (2); (c) applying a hard mask (10), which covers either the P-well region (3) or the N-well region (2); (d) implanting a source and a drain in the region that is not covered by the hard mask (10), followed by heat activation; (e) implanting pocket implants in the region that is not covered by the hard mask (10), followed by heat activation; (f) removing the hard mask (10).
    Type: Grant
    Filed: April 10, 2001
    Date of Patent: October 8, 2002
    Assignee: Koninklijke Phillips Electronics N.V.
    Inventors: Peter Adriaan Stolk, Pierre Hermanus Woerlee, Mathijs Johan Knitel, Anja Catharina Maria Carolina Van Brandenburg
  • Patent number: 6458643
    Abstract: A semiconductor substrate is provided with at least a gate formed on the semiconductor substrate. A first ion implantation process is performed to form a pocket implant region within the semiconductor substrate beneath the gate. Following the first ion implantation process, a first rapid thermal annealing (RTA) process is immediately performed to reduce TED effects resulting from the first ion implantation process. Thereafter, a second implantation process is performed to form a source extension doping region and a drain extension doping region within the semiconductor substrate adjacent to the gate. A source doping region and a drain doping region are then formed within the semiconductor substrate adjacent to the gate. Finally, a second RTA process is performed to simultaneously activate dopants in the source extension doping region, the drain extension doping region, the source doping region and the drain doping region.
    Type: Grant
    Filed: July 3, 2001
    Date of Patent: October 1, 2002
    Assignee: Macronix International Co. Ltd.
    Inventors: Han-Chao Lai, Tao-Cheng Lu, Hung-Sui Lin
  • Patent number: 6451655
    Abstract: An electronic power device is integrated monolithically in a semiconductor substrate. The device has a first power region and a second region, each region comprising at least one P/N junction formed of a first semiconductor region with a first type of conductivity, which first semiconductor region extends through the substrate from the top surface of the device and is diffused into a second semiconductor region with the opposite conductivity from the first. The device also includes an interface structure between the two regions, of substantial thickness and limited planar size, comprising at least one trench filled with dielectric material.
    Type: Grant
    Filed: July 25, 2000
    Date of Patent: September 17, 2002
    Assignee: STMicroelectronics S.r.l.
    Inventor: Salvatore Leonardi
  • Patent number: 6451675
    Abstract: A method for fabricating a metal-oxide semiconductor (MOS) transistor. A substrate having a gate structure is provided. The method of the invention includes forming a liner spacer on each side of the gate structure and a low dopant density region deep inside the substrate. The low dopant density region has a lower dopant density than that of a lightly doped region of the MOS transistor. Then a interchangeable source/drain region with a lightly doped drain (LDD) structure and an anti-punch-through region is formed on each side of the gate structure in the low dopant density region. The depth of the interchangeable source/drain region is not necessary to be shallow.
    Type: Grant
    Filed: September 12, 2000
    Date of Patent: September 17, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Kuan Yeh, Jih-Wen Chou
  • Publication number: 20020127791
    Abstract: First and second gate electrodes are formed on first and second regions of a semiconductor substrate. Second conductivity type impurities are implanted into the second region to form first impurity diffusion regions. Spacer films are formed on the side surfaces of the first and second gate electrodes. Second conductivity type impurities are implanted into the first and second regions to form second impurity diffusion regions. After the spacer films are removed, second conductivity type impurities are implanted into the first region to form third impurity diffusion regions. The third activation process is performed so that the gradient of impurity concentration distribution around the third impurity diffusion region becomes steeper than the gradient of impurity concentration distribution around the first impurity diffusion region.
    Type: Application
    Filed: February 28, 2002
    Publication date: September 12, 2002
    Applicant: Fujitsu Limited
    Inventors: Ryota Nanjo, Shinji Sugatani, Satoshi Nakai
  • Patent number: 6448142
    Abstract: A fabrication method for a metal oxide semiconductor transistor is described. A source/drain implantation is conducted on a substrate beside the spacer that is on the sidewall of the gate to form a source/drain region in the substrate beside the spacer. A self-aligned silicide layer is further formed on the gate and the source/drain region. A portion of the spacer is removed to form a triangular spacer with a sharp corner, followed by performing a tilt angle implantation on the substrate to form a source/drain extension region in the substrate under the side of the gate and the spacer with the sharp corner. A thermal cycle is further conducted to adjust the junction depth and the dopant profile of the source/drain extension region.
    Type: Grant
    Filed: August 3, 2001
    Date of Patent: September 10, 2002
    Assignee: Macronix International Co., Ltd.
    Inventors: Han-Chao Lai, Hung-Sui Lin, Tao-Cheng Lu
  • Publication number: 20020123181
    Abstract: A plurality of gate electrodes is formed on a semiconductor substrate having a DRAM area and a logic area. Next, sidewalls, each of which includes a silicon nitride film covering the sides of gate electrodes and a silicon oxide film covering the silicon nitride film, are formed on the sides of the gate electrodes respectively. After formation of a transistor having an LDD structure in the logic area, the silicon oxide film formed on the sides of the gate electrodes is removed by wet etching. Next, a silicon nitride film is formed on the whole surface of the semiconductor substrate, and an interlayer dielectric is formed on the silicon nitride film.
    Type: Application
    Filed: September 25, 2001
    Publication date: September 5, 2002
    Applicant: MITSUBISHI DENKI KABUSHIKI KAISHA
    Inventor: Atsushi Hachisuka
  • Patent number: 6440875
    Abstract: Within a method for forming a spacer layer, there is first provided a substrate having formed thereover a topographic feature in turn having formed thereover a second microelectronic layer formed of a second material having a second thickness in turn having formed thereover a first microelectronic layer formed of a first material having a first thickness. Within the method, the first material serves as an etch stop for second material and the first thickness is less than the second thickness. The first microelectronic layer and the second microelectronic layer are then successively etched to ultimately form a spacer layer with enhanced dimensional control.
    Type: Grant
    Filed: May 2, 2001
    Date of Patent: August 27, 2002
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Bor-Wen Chan, Mei-Ru Kuo
  • Patent number: 6436752
    Abstract: A disposable spacer for use in a semiconductor device fabrication process is formed of a germanium-silicon alloy. The germanium-silicon alloy may include a first portion (x) of germanium and a second portion (1−x) of silicon, wherein x is greater than about 0.2. A method of forming the disposal spacer includes providing a device structure and forming a layer of germanium-silicon alloy on the device structure. The layer is then etched to form the disposable spacer. The device structure may include a substrate and a gate structure with the disposable spacers formed at sidewalls thereof. Further, the device structure may include a substrate having an oxidation mask formed thereon with the disposable spacers formed relative to sidewalls of the oxidation mask. In addition, the method includes removing the disposable spacer by oxidizing the spacer to form volatile GexSixO. Any unvolatilized GexSiyO may be removed using water.
    Type: Grant
    Filed: May 18, 2000
    Date of Patent: August 20, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Werner Juengling
  • Patent number: 6429062
    Abstract: In the fabrication of a 0.10 micron CMOS integrated circuit, a high-energy plasma etch is used to pattern a polysilicon layer and an underlying gate oxide layer to define gate structures. A thermal oxide step anneals silicon exposed and damaged by this etch. Instead of using this thermal oxide as a blocking layer for a source/drain extension implant, it is removed so as to expose the silicon surfaces of the source/drain regions. A TEOS deposition results in a carbon-bearing silicon dioxide layer in contact with the surfaces of the crystalline source/drain regions. A boron PMOS source/drain extension implant is performed through this carbon-bearing blocking layer. Subsequent steps result in the formation of sidewall spacers, heavily doped source/drain sections, submetal dielectric, an intermetal dielectric interconnect structure, and passivation. The relatively high interstitial recombination rate of the carbon-bearing blocking layer attracts a flow of interstitial silicon.
    Type: Grant
    Filed: September 20, 1999
    Date of Patent: August 6, 2002
    Assignee: Koninklike Philips Electronics N.V.
    Inventor: Mark E. Rubin
  • Patent number: 6423589
    Abstract: A CMOS integrated circuit includes an NMOS transistor and a PMOS transistor in an integrated circuit substrate. The NMOS transistor and the PMOS transistor each include a gate, and a source/drain on opposing sides of the gate. An insulating layer is located on the integrated circuit substrate. The insulating layer includes a contact hole therein which exposes a portion of a corresponding one of the source/drains. A source/drain plug is formed in the corresponding one of the source/drains. The source/drain plug is of opposite conductivity from the corresponding one of the source/drains. The source/drain plug is centered about the portion of the corresponding one of the source/drains. The source/drain plug may be formed by ion implantation through the contact hole and is thereby self-aligned to the contact hole. The source/drain plug can compensate for misalignment and the diffusion for highly integrated CMOS devices.
    Type: Grant
    Filed: June 20, 2001
    Date of Patent: July 23, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-hoon Park, Yang-koo Lee, Kyung-seok Oh
  • Patent number: 6423615
    Abstract: Techniques include heating a substantially uniformly boron-doped wafer to achieve a significantly increased resistivity in a near-surface region of the water and forming at least one electrical circuit element in the near-surface region.
    Type: Grant
    Filed: September 22, 1999
    Date of Patent: July 23, 2002
    Assignee: Intel Corporation
    Inventors: Kramadhati V. Ravi, Li Ling, Sing-Chung S. Hu
  • Patent number: 6413810
    Abstract: A fabrication method for fabricating a dual-gate CMOSFET on a semiconductor substrate according to the present invention includes: implanting ions of N-type impurity for forming a deep junction source and drain in a first region on the semiconductor substrate where an NMOSFET is to be formed; performing a first annealing process for activating the N-type impurity; implanting ions of P-type impurity for forming a deep junction source and drain in a second region on the semiconductor substrate where a PMOSFET is to be formed; and performing a second annealing process for activating the P-type impurity. By performing the above processes in that order, the N-type impurity ions in the N+ polysilicon gate electrode of the NMOSFET are sufficiently activated, thus preventing the problem of depletion. Also, fluctuation of a threshold voltage because of penetration of the P-type impurity ions in the gate electrode of the PMOSFET can be prevented in the PMOSFET.
    Type: Grant
    Filed: March 13, 2000
    Date of Patent: July 2, 2002
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Hideaki Matsuhashi
  • Patent number: 6413829
    Abstract: For forming a field effect transistor on a buried insulating material in SOI (semiconductor on insulator) technology, a gate dielectric and a gate electrode are formed on the semiconductor material, and spacers are formed on sidewalls of the gate electrode and the gate dielectric. The spacers cover portions of the semiconductor material. A dopant is implanted into exposed regions of the semiconductor material to form a drain doped region and a source doped region. A portion of the drain doped region and a portion of the source doped region extend under the spacers. A drain contact silicide is formed with an exposed portion of the drain doped region, and a source contact silicide is formed with an exposed portion of the source doped region. The spacers are removed to expose the portions of the semiconductor material including a portion of the drain doped region and a portion of the source doped region.
    Type: Grant
    Filed: June 1, 2001
    Date of Patent: July 2, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6410382
    Abstract: A fabrication method of a semiconductor device improves the hot carrier immunity and prevents the deterioration of electrical characteristics of p-channel transistors. The fabrication method of the semiconductor device includes: sequentially forming a gate insulating film and a gate electrode; implanting low-density impurity ions into the semiconductor substrate at both sides of the gate electrode; forming sidewall spacers on side surfaces of the gate electrode; and implanting high-density impurity ions into the semiconductor substrate using the sidewall spacers as a mask, thereby forming source/drain regions. In methods embodying the invention, before or after forming the sidewall spacers, nitrogen ions are implanted into a portion of the gate insulating film adjacent to outer sides of the gate electrode.
    Type: Grant
    Filed: November 5, 1999
    Date of Patent: June 25, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Ki Jae Huh, Duk Hee Lee
  • Patent number: 6410394
    Abstract: A method for forming a CMOS transistor gate with a self-aligned channel implant. A semiconductor structure having a first active area is provided. A first insulating layer is formed on the semiconductor structure, and a second insulating layer is formed on the first insulating layer. The second insulating layer is patterned using a poly reverse mask and an etch selective to the first insulating layer to form a first channel implant opening, and the poly reverse mask is removed. A first channel implant mask is formed exposing the first channel implant opening. Impurity ions are implanted through the first channel implant opening to form a first threshold adjust region and a first anti-punchthrough region. A gate layer is formed over the semiconductor structure, and the first gate layer is planarized to form a gate electrode. The second insulating layer is removed, and lightly doped source and drain regions, sidewall spacers and source and drain regions can be formed adjacent the gate electrode.
    Type: Grant
    Filed: December 17, 1999
    Date of Patent: June 25, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Kai Shao, Yimin Wang, Jian Xun Li, Shao-Fu Sanford Chu
  • Publication number: 20020072185
    Abstract: A method of forming a gate structure. A gate dielectric layer and a polysilicon gate are sequentially formed over a substrate. The substrate is enclosed within a chamber and surrounded by oxygen-containing plasma. A negative voltage is applied to the substrate so that the oxygen ions of the oxygen-containing plasma are implanted into a superficial layer of the polysilicon gate. An annealing operation is conducted in an inert atmosphere so that the implanted oxygen ions in the polysilicon gate react with silicon to form a silicon oxide buffer layer. Finally, spacers are formed on the external sidewall of the silicon oxide buffer layers next to the polysilicon gate.
    Type: Application
    Filed: February 5, 2001
    Publication date: June 13, 2002
    Inventor: Wei Wen Chen
  • Publication number: 20020072168
    Abstract: A method of manufacturing CMOS with different gate dielectric layers on a substrate is disclosed. There are a P-well and a N-well on said substrate, an isolation region between said P-well and N-well. The method comprises the steps of: depositing a first dielectric layer on said P-well; depositing a second dielectric layer on said N-well; forming a first gate electrode over said P-well a second gate electrode over said N-well.
    Type: Application
    Filed: November 30, 2000
    Publication date: June 13, 2002
    Inventor: Horng-Huei Tseng
  • Patent number: 6399432
    Abstract: For use with sub-micron CMOS technologies, a gate etch process improves control of the etch profile. Gate stacks utilize N-type or P-type doped amorphous or poly silicon to enhance device performance. However, the different etching characteristics of the N-type versus the P-type amorphous or poly silicon material can result in a localized breakthrough of the underlying thin gate oxide adjacent to the edge of the gate stack, especially in the N doped active regions. According to one example embodiment, this localized breakthrough (“microtrenching”) is avoided by building the gate stacks with undoped amorphous or poly silicon to the desired configuration, masking the gate stacks with a dielectric layer, planarizing the dielectric layer and then implanting the N-type or P-type species into the selected gate stack.
    Type: Grant
    Filed: November 24, 1998
    Date of Patent: June 4, 2002
    Assignee: Philips Semiconductors Inc.
    Inventors: Tammy Zheng, Subhas Bothra
  • Patent number: 6395571
    Abstract: Fabrication of a polysilicon TFT having a lightly doped drain or offset structure. Fabrication includes forming a semiconductor layer, a gate insulating film, and a gate electrode on a substrate. Then, forming lightly doped impurity regions in the semiconductor layer on both sides of the gate electrode. Next, forming an insulating film having a thickness that gradually becomes thinner away from the gate electrode. Then, forming heavily doped impurity regions in the lightly doped impurity regions in the semiconductor layer on both sides of the gate, resulting in regions with continuously varied impurity concentrations.
    Type: Grant
    Filed: September 20, 2000
    Date of Patent: May 28, 2002
    Assignee: LG. Philips LCD Co., Ltd.
    Inventors: Jong Hoon Yi, Sang Gul Lee
  • Patent number: 6391702
    Abstract: A method of manufacturing a semiconductor device that can limit etch damage is disclosed. According to one embodiment, isolation regions (102) may be formed in a substrate (101). A word line (103) may be formed in a first region. A protective film (105) may be formed over the first region and a second region. A protective film (105) may then be etched from the second region but retained in the first region. A sidewall layer (107) may then be formed over the first and second regions, and etched to form sidewalls (107-a). The protective film (105-a) over the first region can reduce etch damage. Further, because a protective film (105-a) can be thinner than a sidewall layer (107), a resulting step height between the first region and second region may be reduced. Reductions in such a step height can result in better focus margins for subsequent photolithographic steps.
    Type: Grant
    Filed: October 27, 2000
    Date of Patent: May 21, 2002
    Assignee: NEC Corporation
    Inventor: Masateru Ando
  • Patent number: 6388288
    Abstract: Integration of dual voltages on a single chip can be accomplished with a minimum of extra masks by optimizing only the MDD implant of the peripheral transistors, while other implants remain the same for both transistor types. This meets lifetime specifications without unnecessary expense.
    Type: Grant
    Filed: March 25, 1999
    Date of Patent: May 14, 2002
    Assignee: Texas Instruments Incorporated
    Inventors: Karthik Vasanth, Sharad Saxena, Richard G. Burch, Purnendu K. Mozumder, Joseph C. Davis, Chenjing L. Fernando, Suraj Rao
  • Publication number: 20020055219
    Abstract: A method and apparatus for manufacturing an electrostatic discharge protection device. A first gate structure for the electrostatic device is formed. A first lightly doped drain and a second lightly doped drain for the electrostatic discharge protection device is formed. A second gate structure for a data path transistor is formed. A third lightly doped drain and a fourth lightly doped drain for a data path transistor is formed, wherein the first lightly doped drain and the second lightly doped drain have a higher doping level relative to the third lightly doped drain and the fourth lightly doped drain.
    Type: Application
    Filed: December 20, 2001
    Publication date: May 9, 2002
    Inventor: Todd A. Randazzo
  • Publication number: 20020048917
    Abstract: A semiconductor device and a method of fabricating the same which do not require nitrogen ion implantation for preventing boron ions from passing from a gate electrode into a semiconductor substrate are provided. The gate electrode (30) includes a gate insulating film (31) formed on a major surface of the substrate (10), an electrically conductive film (32N) formed on the gate insulating film (31), and an electrically conductive film (32) formed on the conductive film (32N). The conductive film (32N) is formed by annealing in a nitrogen-containing and hydrogen-free atmosphere. Then, the gate insulating film (31) and the conductive film (32) are shaped to form the gate electrode (30) at one time. In a PMOS transistor formation region (110), boron ions are implanted into the substrate (10) using the gate electrode (30) as a mask to form a source region (5) and a drain region (6).
    Type: Application
    Filed: September 3, 1998
    Publication date: April 25, 2002
    Inventors: MASAHIRO SEKINE, MOTAHARUL KABIR MAZUMDER
  • Patent number: 6372590
    Abstract: A transistor having reduced series resistance and method for producing the same. The method reduces transistor series resistance by implanting nitrogen into an nLDD/Source/Drain extension region of the transistor. The nitrogen implantation in connection with the implantation of a conventional n-type dopant (e.g. arsenic or phosphorus), results in a transistor having low series resistance, reduced hot carrier effects and no significant increase in source/drain extension overlap.
    Type: Grant
    Filed: October 15, 1997
    Date of Patent: April 16, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Deepak K. Nayak, Ming-Yin Hao
  • Publication number: 20020042177
    Abstract: A semiconductor device including an NMOSFET which has an n-type source/drain main region containing arsenic and an n-type source/drain buffer region having arsenic and phosphorous of which a concentration is lower than that of the source/drain main region, and the concentration of the phosphorous in the source/drain buffer region is smaller than the concentration of the arsenic therein. The semiconductor device has a suppressed reverse short channel effect and reduced p-n junction leakage current. Further, the semiconductor device has a larger margin to a certain gate length and a specified threshold voltage to elevate a production yield.
    Type: Application
    Filed: April 10, 2001
    Publication date: April 11, 2002
    Inventor: Kiyotaka Imai
  • Patent number: 6368927
    Abstract: A method of manufacturing a transistor having an elevated drain in a substrate includes the steps of: forming a gate structure on the substrate; providing a first doped region adjacent to one end of the gate structure, the first doped region having a first dopant concentration level; forming a second doped region overlying the first doped region, the second doped region having a second dopant concentration level; and forming a third doped region overlying the second doped region, the third doped region having a third dopant concentration level different from the second dopant concentration level, in which the elevated drain includes the third doped region, where the second dopant concentration level is lower than the third concentration level.
    Type: Grant
    Filed: June 29, 2000
    Date of Patent: April 9, 2002
    Assignee: Hyunadi Electronics Industries, Ltd.
    Inventor: Jung Ho Lee
  • Publication number: 20020039819
    Abstract: A method for manufacturing field effect transistors with a reduced pn-type junction capacitance between the source and/or the drain, is described. A substrate is provided with an additional implantation step with a high energy level and a small dose. A reduced pn-type junction capacitance accelerates the switching speed of a transistor. The described method can easily be integrated into existing methods for manufacturing field effect transistors.
    Type: Application
    Filed: June 18, 2001
    Publication date: April 4, 2002
    Inventors: Guiseppe Curello, Albrecht Kieslich
  • Patent number: 6365496
    Abstract: A contact opening to a silicon substrate within which a metal contact is to be formed is cleaned by soft sputter etch to clean the substrate surface and remove any residue which would interfere with formation of a continuous silicide layer across the contact region. Contact profile protrusion at the interface between two dielectrics forming the insulating material through which the contact opening is formed is also reduced by the soft sputter etch. A barrier is formed over the contact region utilizing two discrete deposition steps, preferably separated by an interval of time and employing different process parameters, to provide a shift in the grain boundaries between the two barrier layers, creating diffusion traps at grain discontinuities inhibiting the diffusion of metal through the barrier layer. Performance of the barrier layer in preventing junction spiking is thereby increased.
    Type: Grant
    Filed: November 16, 2000
    Date of Patent: April 2, 2002
    Assignee: STMicroelectronics, Inc.
    Inventor: Ardeshir J. Sidhwa
  • Patent number: 6365450
    Abstract: For fabricating a PMOS (P-channel Metal Oxide Semiconductor) field effect transistor on a semiconductor substrate, a PMOS gate dielectric is formed on the semiconductor substrate, and a PMOS dummy gate electrode is formed on the gate dielectric. A P-type dopant is implanted into exposed regions of the semiconductor substrate to form a PMOS drain junction and a PMOS source junction. A thermal anneal is performed to activate the drain and source P-type dopant within the drain and source junctions. A PMOS drain silicide is formed with the drain junction, and a PMOS source silicide is formed with the source junction, in a silicidation process. An insulating material is deposited to surround the dummy gate electrode and the gate dielectric. The dummy gate electrode is etched away to form a PMOS gate electrode opening surrounded by the insulating material.
    Type: Grant
    Filed: March 15, 2001
    Date of Patent: April 2, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Hyeon-Seag Kim
  • Patent number: 6362035
    Abstract: A method for incorporating an ion implanted channel stop layer under field isolation for a twin-well CMOS process is described in which the layer is placed directly under the completed field isolation by a blanket boron ion implant over the whole wafer. The channel stop implant follows planarization of the field oxide and is thereby essentially at the same depth in both field and active regions. Subsequently implanted p- and n-wells are formed deeper than the channel stop layer, the n-well implant being of a sufficiently higher dose to over compensate the channel stop layer, thereby removing it's effect from the n-well. A portion of the channel stop implant under the field oxide adjacent the p-well provides effective anti-punchthrough protection with only a small increase in junction capacitance. The method is shown for, and is particularly effective in, processes utilizing shallow trench isolation.
    Type: Grant
    Filed: February 7, 2000
    Date of Patent: March 26, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Jiaw-Ren Shih, Shui-Hung Chen, Jian-Hsing Lee, Hsien-Chin Lin
  • Patent number: 6358787
    Abstract: A method of forming CMOS integrated circuitry includes, a) providing a series of gate lines over a semiconductor substrate, a first gate line being positioned relative to an area of the substrate for formation of an NMOS transistor, a second gate line being positioned relative to an area of the substrate for formation of a PMOS transistor; b) masking the second gate line and the PMOS substrate area while conducting a p-type halo ion implant into the NMOS substrate area adjacent the first gate line, the p-type halo ion implant being conducted at a first energy level to provide a p-type first impurity concentration at a first depth within the NMOS substrate area; and c) in a common step, blanket ion implanting phosphorus into both the NMOS substrate area and the PMOS substrate area adjacent the first and the second gate lines to form both NMOS LDD regions and PMOS n-type halo regions, respectively, the phosphorus implant being conducted at a second energy level to provide an n-type second impurity concentration
    Type: Grant
    Filed: April 10, 2001
    Date of Patent: March 19, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Charles H. Dennison, Mark Helm