Plural Doping Steps Patents (Class 438/232)
  • Patent number: 6808974
    Abstract: A method is provided for maximizing activation of a gate electrode while preventing source and drain regions from being excessively doped. The gate electrode is partially doped when exposed the source/drain implantation step. Then, the gate electrode is fully doped by the selective implantation step while the source/drain regions are blocked. Separate annealing steps are provided subsequent to the gate doping step and the source and drain implantation step.
    Type: Grant
    Filed: May 15, 2001
    Date of Patent: October 26, 2004
    Assignee: International Business Machines Corporation
    Inventors: Heemyong Park, Dominic J. Schepis, Fariborz Assaderaghi
  • Publication number: 20040197983
    Abstract: Electrically active devices are formed using a special conducting material of the form Tm-Ox mixed with SiO2 where the materials are immiscible. The immiscible materials are forced together by using high energy process to form an amorphous phase of the two materials. The amorphous combination of the two materials is electrically conducting but forms an effective barrier.
    Type: Application
    Filed: April 20, 2004
    Publication date: October 7, 2004
    Applicant: California Institute of Technology, a corporation
    Inventors: Pierre Giauque, Marc Nicolet, Stefan M. Gasser, Elzbieta A. Kolawa, Hilary Cherry
  • Patent number: 6800513
    Abstract: A high performance super-minituarized double gate SOIMOS being fabricated by re-distributing the impurity with high concentration at the interface of a buried gate insulative film and by aligning the double gate in a self-aligned manner and furthermore, by isolating completely the buried gate electrodes electrically from each other, in which a multi-layered SOI substrate having an amorphous or polycrystal semiconductor layer constituted by way of a buried gate insulative film to a lower portion of an SOI layer is used, ion implantation is applied to the semiconductor layer in a pattern opposite to the upper gate electrode and the buried gate is constituted in a self-alignment relation with the upper gate.
    Type: Grant
    Filed: November 20, 2002
    Date of Patent: October 5, 2004
    Assignees: Hitachi, Ltd., Hitachi ULSI Systems Co., Ltd.
    Inventors: Masatada Horiuchi, Takashi Takahama
  • Publication number: 20040185630
    Abstract: This invention relates to a process of forming a transistor with three vertical gate electrodes and the resulting transistor. By forming such a transistor it is possible to maintain an acceptable aspect ratio as MOSFET structures are scaled down to sub-micron sizes. The transistor gate electrodes can be formed of different materials so that the workfunctions of the three electrodes can be tailored. The three electrodes are positioned over a single channel and operate as a single gate having outer and inner gate regions.
    Type: Application
    Filed: January 28, 2004
    Publication date: September 23, 2004
    Inventors: Leonard Forbes, Luan C. Tran, Kie Y. Ahn
  • Publication number: 20040171207
    Abstract: A method is provided for turning off MOS transistors through an anti-code (type) LDD implant without the need for high energy implant that causes poly damage. The method also negates any deleterious effects due to the variations in the thickness of the poly gate. The anti-code LDD implant can be performed vertically, or at a tilt angle, or in a combination of vertical and tilt angle. The method can be made part of a Flash-ROM process that is applicable to both polycide and silicide processes.
    Type: Application
    Filed: March 12, 2004
    Publication date: September 2, 2004
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY
    Inventors: Shu-Ying Cho, Chien-Chung Wang, Chien-Ming Chung, Yuan-Chang Huang
  • Patent number: 6767809
    Abstract: The present invention relates to a method of fabricating a semiconductor device. In specific embodiments, the method comprises providing a semiconductor substrate, and ion implanting dopant impurities over a time period into the semiconductor device by varying an ion energy of implanting the dopant impurities over the time period. The dopant impurities are activation annealed to form one or more doped regions extending below the surface of the semiconductor substrate. The ion energy may be varied continuously or in a stepwise manner over the time period, and may also be varied in a cyclical manner.
    Type: Grant
    Filed: November 19, 2002
    Date of Patent: July 27, 2004
    Assignee: SilTerra Malayisa Sdn. Bhd.
    Inventor: Narayanan Meyyappan
  • Patent number: 6767780
    Abstract: A method for fabricating a CMOS transistor is disclosed. The present invention provides a method for producing a CMOS transistor having enhanced performance since a short channel characteristic and operation power can be controlled by the duplicate punch stop layer of the pMOS region and the operation power of the nMOS is also controlled by dopant concentration of the duplicated LDD region combined by the first LDD region and the second LDD region.
    Type: Grant
    Filed: December 31, 2002
    Date of Patent: July 27, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventors: Yong-Sun Sohn, Chang-Woo Ryoo, Jeong-Youb Lee
  • Publication number: 20040137677
    Abstract: System aberrations are effected in a projection system of a lithographic apparatus to optimize imaging of a thick reflective mask with a thick absorber that is obliquely illuminated. The aberrations may include Z5 astigmatism, Z9 spherical, and Z12 astigmatism.
    Type: Application
    Filed: November 20, 2003
    Publication date: July 15, 2004
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Martin Lowisch, Marcel Mathijs Theodore Marie Dierichs, Koen Van Ingen Schenau, Hans Van Der Laan, Martinus Hendrikus Antonius Leenders, Elaine McCoo, Uwe Mickan
  • Patent number: 6756259
    Abstract: Semiconductor power device including a semiconductor layer of a first type of conductivity, wherein a body region of a second type of conductivity including source regions of the first type of conductivity is formed, a gate oxide layer superimposed to the semiconductor layer with an opening over the body region, polysilicon regions superimposed to the gate oxide layer, and regions of a first insulating material superimposed to the polysilicon regions. The device includes regions of a second insulating material situated on a side of both the polysilicon regions and the regions of a first insulating material and over zones of the gate oxide layer situated near the opening on the body region, oxide regions interposed between the polysilicon regions and the regions of a second insulating material, oxide spacers superimposed to the regions of a second insulating material.
    Type: Grant
    Filed: February 1, 2002
    Date of Patent: June 29, 2004
    Assignee: STMicroelectronics S.r.l.
    Inventors: Ferruccio Frisina, Giuseppe Ferla
  • Publication number: 20040121532
    Abstract: A method of forming a trench in a semiconductor device includes forming a sacrificial layer on a silicon wafer and selectively etching the sacrificial layer to form a LOCOS opening having a predetermined width. Thermal oxidation is performed on a portion of the silicon wafer exposed through the LOCOS opening to form a LOCOS oxide layer. Also, etching is performed on the LOCOS oxide layer and the silicon wafer to a desired depth to form a trench. During this process, etching is performed such that the LOCOS oxide layer is left remaining on the silicon wafer at an area corresponding to edges of the trench. An insulation layer is deposited such that the trench is filled with a material of the insulation layer. The present invention also provides a trench in a semiconductor device used as a device isolation region formed in a silicon wafer. Upper corner areas of the silicon wafer adjacent to the trench are rounded, and a LOCOS oxide layer is formed on the corner areas.
    Type: Application
    Filed: December 5, 2003
    Publication date: June 24, 2004
    Inventor: Young-Hun Seo
  • Patent number: 6753217
    Abstract: In a method for making transistors with ultrashort channel length, the deposition of respectively source, drain and gate electrodes initially can be performed with prior art technology limiting the electrode dimensions according to applicable design rules, while the dimensions of every second of these electrodes in subsequent process steps can be adjusted as desired. A channel area is formed between a source and a drain electrode without being constrained by any design rule and this allows the formation of transistor channels with extremely short channel lengths L, e.g. well below 10 nm. Correspondingly the width of the gate electrodes can be adjusted to also obtain a large channel width W and hence provide transistors with almost arbitrarily large aspect ratios W/L and thus desirable switching and current characteristics. The method can be applied to make any kind of field-effect transistor, even on the same substrate and may be adjusted for the fabrication of other kinds of transistor structures as well.
    Type: Grant
    Filed: November 14, 2002
    Date of Patent: June 22, 2004
    Assignee: Thin Film Electronics ASA
    Inventor: Hans Gude Gudesen
  • Patent number: 6750106
    Abstract: A method of fabricating transistors on a semiconductor substrate is disclosed according to a first embodiment of the present invention. Gate dielectrics of equal thickness are provided to a first and second transistor on the semiconductor substrate. A polysilicon doping level of the first transistor is varied with a polysilicon doping level of the second transistor.
    Type: Grant
    Filed: May 28, 2002
    Date of Patent: June 15, 2004
    Assignee: Altera Corporation
    Inventors: Peter McElheny, Priya Selvaraj, Bill Liu, Francois Gregoire
  • Publication number: 20040097030
    Abstract: A gate insulating film (13) and a gate electrode (14) of non-single crystalline silicon for forming an nMOS transistor are provided on a silicon substrate (10). Using the gate electrode (14) as a mask, n-type dopants having a relatively large mass number (70 or more) such as As ions or Sb ions are implanted, to form a source/drain region of the nMOS transistor, whereby the gate electrode (14) is amorphized. Subsequently, a silicon oxide film (40) is provided to cover the gate electrode (14), at a temperature which is less than the one at which recrystallization of the gate electrode (14) occurs. Thereafter, thermal processing is performed at a temperature of about 1000° C., whereby high compressive residual stress is exerted on the gate electrode (14), and high tensile stress is applied to a channel region under the gate electrode (14). As a result, carrier mobility of the nMOS transistor is enhanced.
    Type: Application
    Filed: July 17, 2003
    Publication date: May 20, 2004
    Applicant: Renesas Technology Corp.
    Inventors: Hirokazu Sayama, Kazunobu Ohta, Hidekazu Oda, Kouhei Sugihara
  • Patent number: 6737311
    Abstract: The present invention provides a semiconductor device, a method of manufacture therefor, and an integrated circuit including the semiconductor device. The semiconductor device may include a well doped with a P-type dopant located over a semiconductor substrate. The semiconductor device may further include a buried layer including the P-type dopant located between the well and the semiconductor substrate, and a gate located over the well.
    Type: Grant
    Filed: September 26, 2001
    Date of Patent: May 18, 2004
    Assignee: Agere Systems Inc.
    Inventors: John Desko, Chung-Ming Hsieh, Bailey Jones, Thomas J. Krutsick, Brian Thompson, Steve Wallace
  • Publication number: 20040092069
    Abstract: PS control sections MC1, MC2 configured to independently control the operations in process ships PS1, PS2 are provided respectively, and an LM control section MC3 configured to control the operation in a loader module LM is provided independently.
    Type: Application
    Filed: September 22, 2003
    Publication date: May 13, 2004
    Inventors: Tomoyuki Ishii, Masahiro Numakura
  • Patent number: 6730557
    Abstract: A semiconductor device having a bipolar transistor which is capable of high integration, and a semiconductor device in which the bipolar transistor has good characteristic properties. A process for producing said semiconductor device.
    Type: Grant
    Filed: July 10, 2001
    Date of Patent: May 4, 2004
    Assignee: Sony Corporation
    Inventor: Chihiro Arai
  • Patent number: 6730572
    Abstract: A method of forming silicide, especially in a CMOS device in which polysilicon grains in a p-type gate are re-doped with n-type impurities such as As and the like at a critical implantation dose. This increases the grain size of the polysilicon, which also reduces sheet resistance by securing thermal stability in subsequent process steps thereof. The present invention generally includes forming an undoped polysilicon layer, doping the polysilicon layer with p-type impurity ions, doping the p-doped polysilicon layer with ions that increase the grain size of the polysilicon layer by being heated, forming a metal layer on the twice-doped polysilicon layer, and forming a silicide layer by reacting a portion of the twice-doped polysilicon layer with the metal layer.
    Type: Grant
    Filed: January 21, 2003
    Date of Patent: May 4, 2004
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Key-Min Lee, Jae-Gyung Ahn
  • Patent number: 6727135
    Abstract: A complementary metal oxide semiconductor (CMOS) device having silicide contacts that are self-aligned to deep junction edges formed within a surface of a semiconductor substrate as well as a method of manufacturing the same are disclosed. Specifically, the CMOS device includes a plurality of patterned gate stack regions formed on a surface of a semiconductor substrate. Each plurality of patterned gate stack regions includes an L-shaped nitride spacer formed on exposed vertical sidewalls thereof, the L-shaped nitride spacer having a vertical element and a horizontal element, wherein the horizontal element is formed on a portion of the substrate that abuts each patterned gate stack region. Silicide contacts are located on other portions of the semiconductor substrate between adjacent patterned gate stack regions not containing the horizontal element of the L-shaped nitride spacer.
    Type: Grant
    Filed: June 18, 2003
    Date of Patent: April 27, 2004
    Assignee: International Business Machines Corporation
    Inventors: Kam Leung Lee, Ronnen Andrew Roy
  • Patent number: 6727136
    Abstract: A method of manufacturing a semiconductor device, comprising sequential steps of: (a) providing a semiconductor substrate including a pre-selected thickness strained lattice layer of a first semiconductor material at an upper surface thereof and an underlying layer of a second semiconductor material; and (b) introducing a dopant-containing species of one conductivity type into at least one pre-selected portion of the strained lattice layer of first semiconductor material to form a dopant-containing region therein with a junction at a depth substantially equal to the pre-selected thickness, wherein the second semiconductor material of the underlying layer inhibits diffusion thereinto of the dopant-containing species from the strained lattice layer, thereby controlling/limiting the depth of the junction to substantially the pre-selected thickness of the strained lattice layer.
    Type: Grant
    Filed: October 18, 2002
    Date of Patent: April 27, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: James F. Buller, Derick J. Wristers, David Wu, Akif Sultan
  • Patent number: 6716690
    Abstract: Multiple dopant implantations are performed on a FinFET device to thereby distribute the dopant in a substantially uniform manner along a vertical depth of the FinFET in the source/drain junction. Each of the multiple implantations may be performed at different tilt angles.
    Type: Grant
    Filed: March 12, 2003
    Date of Patent: April 6, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Haihong Wang, Judy Xilin An, Bin Yu
  • Publication number: 20040063272
    Abstract: A semiconductor plastic package, more particularly a preferred package structure and method for making a BGA package. A resin sealed BGA package where a supporting frame which fixedly supports semiconductor parts; i.e., an IC chip, a circuit board, or a circuit film, is sealed with resin, using a mold which is composed of an upper mold half and a lower mold half with the lower mold half having a plurality of projections, one at a position corresponding to each of the external terminals. The mold has a divisional structure which has an air vent between the divisional elements thereof.
    Type: Application
    Filed: September 30, 2003
    Publication date: April 1, 2004
    Applicant: HITACHI, LTD.
    Inventors: Shigeharu Tsunoda, Junichi Saeki, Isamu Yoshida, Kazuya Ooji, Michiharu Honda, Makoto Kitano, Nae Yoneda, Shuji Eguchi, Kunihiko Nishi, Ichiro Anjoh, Kenichi Otsuka
  • Publication number: 20040063271
    Abstract: Provided are a synchronous semiconductor device and a method for preventing coupling between data buses. The synchronous semiconductor device supports at least two kinds of bit configuration modes and includes a first data bus and a second data bus. The first data bus is used to transmit data in a first bit configuration mode and used as a shielding line in a configuration mode other than the first bit configuration mode. The second data bus is used to transmit data in the first bit configuration mode and a second bit configuration mode and used as a shielding line in a configuration mode other than the first bit configuration mode and the second bit configuration mode. The first data bus and the second data bus are arranged alternately. In using the device and method, it is possible to prevent coupling between the data buses without additional shielding lines by using the same kind of data bus, which is not used to transmit data, as the shielding line.
    Type: Application
    Filed: September 26, 2003
    Publication date: April 1, 2004
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Jin-Kyoung Jung, Kyu-Hyoun Kim
  • Publication number: 20040058556
    Abstract: Disclosed is a method of manufacturing a MOS transistor having an enhanced reliability. A passivation layer is formed on a gate electrode and on a substrate to prevent a generation of a recess on the substrate. After a mask pattern is formed on the substrate for masking a portion of the substrate, impurities are implanted into an exposed portion of the substrate to form source and drain regions. The substrate is rinsed so that the passivation layer or a recess-prevention layer is substantially entirely or partially removed while the mask pattern is substantially completely removed, thereby forming the MOS transistor. Therefore, the generation of the recess in the source and drain region of the substrate can be prevented due to the passivation layer during rinsing of the substrate.
    Type: Application
    Filed: April 30, 2003
    Publication date: March 25, 2004
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Young-Sub You, Hyeon-Deok Lee, Tae-Soo Park, Heon-Heoung Leam, Bong-Hyun Kim, Yong-Woo Hyung
  • Patent number: 6709938
    Abstract: An improved source/drain extension process is provided by the following processing steps of implanting NMOS devices directly on either side of the gates without an oxide layer (step D2), covering the gates with a cap oxide layer(step E2), covering NMOS devices with photoresist(step F2), dry etching all PMOS devices (Step G2), and implanting PMOS devices (step I2).
    Type: Grant
    Filed: July 18, 2002
    Date of Patent: March 23, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Donald S. Miles, Douglas T. Grider, P. R. Chidambaram, Amitabh Jain
  • Patent number: 6706582
    Abstract: An impurity ion of a polarity opposite to that of an impurity ion forming an n-type diffusion layer is implanted into a lower portion of the n-type diffusion region in a region, in which n-channel type MISFET is to be formed, vertically with respect to a main surface of a semiconductor to form a first p-type pocket layer. Subsequently, an impurity of a p conduction type is implanted into a region between the n-type diffusion region and the first p-type pocket layer obliquely relative to the main surface of the semiconductor substrate to form a second p-type pocket layer. In this arrangement, the concentration of the impurity ion forming the second p-type pocket layer is made higher than the concentration of the impurity ion used to form the first p-type pocket layer.
    Type: Grant
    Filed: May 17, 2002
    Date of Patent: March 16, 2004
    Assignee: Renesas Technology Corporation
    Inventors: Youhei Yanagida, Katsuhiko Ichinose, Tomohiro Saito, Shinichiro Mitani
  • Publication number: 20040043555
    Abstract: Carbon doped oxide (CDO) deposition. One method of deposition includes providing a substrate and introducing oxygen to a carbon doped oxide precursor in the presence of the substrate. A carbon doped oxide film is formed on the substrate. In another method the substrate is placed on a susceptor of a chemical vapor deposition apparatus. A background gas is introduced along with the carbon doped oxide precursor and oxygen to form the carbon doped oxide film on the substrate.
    Type: Application
    Filed: September 2, 2003
    Publication date: March 4, 2004
    Inventors: Ebrahim Andideh, Kevin L. Peterson, Jeffery D. Bielefeld
  • Publication number: 20040029340
    Abstract: A apparatus and method for forming windows in semiconductor devices to enable visualization of the circuitry therein while electrically intact. The device is affixed to a table that is oscillated in the X and Y directions while a succession of rotating tools are brought to bear against the surface of the device in the Z direction under a constant force. The force is adjustable so as to allow the tool to float on the surface of the workpiece.
    Type: Application
    Filed: August 4, 2003
    Publication date: February 12, 2004
    Applicant: Ultra Tec Manufacturing, Inc.
    Inventor: Joseph I. Rubin
  • Patent number: 6686233
    Abstract: The invention relates to a method for forming a high voltage NMOS transistor together with a low voltage NMOS transistor and a low voltage PMOS transistor, respectively, in an n-well CMOS process by adding solely two additional process steps to a conventional CMOS process: (i) a masking step, and (ii) an ion implantation step for forming a doped channel region for the high voltage MOS transistor in the substrate self-aligned to the edge of the high voltage MOS transistor gate region. The ion implantation is performed through the mask in a direction, which is inclined at an angle to the normal of the substrate surface, to thereby create the doped channel region partly underneath the gate region of the high voltage MOS transistor.
    Type: Grant
    Filed: November 2, 2001
    Date of Patent: February 3, 2004
    Assignee: Telefonaktiebolaget LM Ericsson
    Inventors: Anders Söderbärg, Peter Olofsson, Andrej Litwin
  • Patent number: 6673663
    Abstract: Methods of forming field effect transistors and related field effect transistor constructions are described. A masking layer is formed over a semiconductive substrate and an opening having sidewalls is formed therethrough. The opening defines a substrate area over which a field effect transistor gate is to be formed. A dopant of a first conductivity type is provided through the opening and into the substrate. Sidewall spacers are formed over respective sidewalls of the opening. Enhancement dopant of a second conductivity type which is different from the first conductivity type is provided through the opening and into the substrate. A transistor gate is formed within the opening proximate the sidewall spacers, and source/drain regions of the second conductivity type are diffused into the substrate operably proximate the transistor gate. The first conductivity type dopant forms a halo region proximate the source/drain regions and lightly doped drain (LDD) regions for the transistor.
    Type: Grant
    Filed: May 8, 2002
    Date of Patent: January 6, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Zhiqiang Wu, Paul Hatab
  • Publication number: 20030228732
    Abstract: A tank-isolated drain extended power device (50, 60, 70, 80) having an added laterally extending heavily doped p-type region (56, 62, 72) in combination with a p-type Dwell (32) which reduces minority carrier buildup. The p-doped regions are defined in a P-epi layer surrounded by a buried NBL region (14) connected with a deep low resistance drain region (16) forming a guardring. This additional laterally extending p-doped region (56,62,72) reduces minority carrier build up such that recovery time is significantly reduced, and power loss is also significantly reduced due to reduced collection time of the minority carriers. The device may be formed as an LDMOS device.
    Type: Application
    Filed: June 11, 2002
    Publication date: December 11, 2003
    Inventors: Taylor R. Efland, Chin-Yu Tsai
  • Patent number: 6649462
    Abstract: A gate insulating film is provided on a channel region. A gate electrode includes a lower part and an upper part. The lower part has a lower surface and sides, and the upper part has a lower surface. The lower surface of the lower part contacts the gate insulating film. The upper part is longer than the lower part in a lengthwise direction of a gate electrode. The first insulating film is interposed between the lower surface of the upper part of the gate electrode and a semiconductor substrate. The first insulating film surrounds at least the sides of the lower part of the gate electrode, which face drain and source regions, and having parts interposed between the lower surface of the upper part of the gate electrode and the semiconductor substrate and made thicker than the other parts.
    Type: Grant
    Filed: November 13, 2002
    Date of Patent: November 18, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsushi Azuma, Satoshi Matsuda
  • Publication number: 20030211684
    Abstract: A new method is provided for the creation of sub-micron gate electrode structures. A high-k dielectric is used for the gate dielectric, providing increased inversion carrier density without having to resort to aggressive scaling of the thickness of the gate dielectric while at the same time preventing excessive gate leakage current from occurring. Further, air-gap spacers are formed over a stacked gate structure. The gate structure consists of pre-doped polysilicon of polysilicon-germanium, thus maintaining superior control over channel inversion carriers. The vertical field between the gate structure and the channel region of the gate is maximized by the high-k gate dielectric, capacitive coupling between the source/drain regions of the structure and the gate electrode is minimized by the gate spacers that contain an air gap.
    Type: Application
    Filed: June 16, 2003
    Publication date: November 13, 2003
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY
    Inventor: Jyh-Chyurn Guo
  • Patent number: 6642120
    Abstract: A semiconductor circuit is provided which has a high breakdown voltage and is capable of outputting a large current. Field transistors (Q1, Q11) are cross-coupled. The gate of the first field transistor (Q1) and the drain of the second field transistor (Q11) are not directly connected to the drain of an MOS transistor (Q4) but are connected to the base of a bipolar transistor (Q12). The second field transistor (Q11) has its source connected to the collector of the bipolar transistor (Q12) and the MOS transistor (Q4) has its drain connected to the emitter of the bipolar transistor (Q12). When the current amplification factor of the bipolar transistor (Q12) is taken as &bgr;, then the current of the output (SO) can be increased approximately &bgr; times.
    Type: Grant
    Filed: July 31, 2002
    Date of Patent: November 4, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Tomohide Terashima
  • Patent number: 6642589
    Abstract: A semiconductor device has first and second active regions defined on the principal surface of a silicon substrate, a first n-channel MOS transistor formed in the first active region and having first extension regions and first pocket regions being deeper than the first extension regions and being doped with indium at a first concentration, and a second n-channel MOS transistor formed in the second active region and having second extension regions and second pocket regions being deeper than the second extension regions and being doped with indium at a second concentration lower than the first concentration. Boron ions may be implanted into the second pocket regions. The pocket regions can be formed by implanting indium ions and an increase in leak current to be caused by indium implantation can be reduced.
    Type: Grant
    Filed: October 9, 2002
    Date of Patent: November 4, 2003
    Assignee: Fujitsu Limited
    Inventors: Hajime Wada, Kenichi Okabe, Kou Watanabe
  • Patent number: 6638841
    Abstract: A method for reducing a gate length bias is disclosed. The method utilizes an additional blanket ion implantation process to adjust the etching property of the undoped conductive layer. According to the present invention, a polysilicon layer is used to form NMOS and PMOS gate electrodes so that the gate length bias between the NMOS gate electrodes and the PMOS gate electrodes can be effectively reduced.
    Type: Grant
    Filed: April 8, 2002
    Date of Patent: October 28, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Kai-Jen Ko, Yuan-Li Tsai, Ming-Hui Wu, Steven Huang, Ching-Chun Hwang
  • Patent number: 6627973
    Abstract: A method of eliminating voids in the interlayer dielectric material of 0.18-&mgr;m flash memory semiconductor devices and a semiconductor device formed by the method. The present invention provides a method for eliminating voids in the interlayer dielectric of a 0.18-&mgr;m flash memory semiconductor device by providing a first BPTEOS layer, using a very low deposition rate and having a thickness in a range of approximately 3 kÅ; and providing a second BPTEOS layer, using a standard deposition rate and having a thickness in a range of approximately 13 kÅ, wherein both layers have an atomic dopant concentration of approximately 4.5% B and approximately 5% P. This two-step deposition process completely eliminates voids in the ILD for a 0.5-&mgr;m distance (gate-to-gate) as well as 0.38-&mgr;m distance (gate-to-gate) which is the future flash technology.
    Type: Grant
    Filed: September 13, 2002
    Date of Patent: September 30, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Robert A. Huertas, Lu You, King Wai Kelwin Ko, Pei-Yuan Gao
  • Publication number: 20030181005
    Abstract: Provided is a method of manufacturing a semiconductor device having an n-type FET and a p-type EFT each formed over a semiconductor substrate, which comprises (a) forming, over the n-type FET and p-type FET, a first insulating film for generating a tensile stress in the channel formation region of the n-type FET so as to cover gate electrodes of the FETs, while covering, with an insulating film, a semiconductor region between the gate electrode of the p-type FET and an element isolation region of the semiconductor substrate; (b) selectively removing the first insulating film from the upper surface of the p-type FET by etching; (c) forming, over the n-type and p-type FETs, a second insulating film for generating a compressive stress in the channel formation region of the p-type FET so as to cover the gate electrodes of the FETs; and (d) selectively removing the second insulating film from the upper surface of the n-type FET.
    Type: Application
    Filed: December 31, 2002
    Publication date: September 25, 2003
    Inventors: Kiyota Hachimine, Akihiro Shimizu, Nagatoshi Ooki, Satoshi Sakai, Naoki Yamamoto
  • Publication number: 20030178685
    Abstract: A dose of arsenic for an extension region in an NMOS transistor is in a range from 5×1014 to 2×1015 ions/cm2 and preferably in a range from 1.1×1015 to 1.5×1015 ions/cm2. Also, in addition to arsenic, a low concentration of phosphorus is doped into the extension region by ion implantation. Consequently, with a semiconductor device of the CMOS structure, it is possible to prevent unwanted creeping of silicide that occurs often in the shallow junction region depending on a concentration of an impurity having a low diffusion coefficient as represented by arsenic. Further, not only can the resistance in the shallow junction region be lowered, but also an amount of overlaps can be optimized in each transistor.
    Type: Application
    Filed: October 21, 2002
    Publication date: September 25, 2003
    Applicant: FUJITSU LIMITED
    Inventor: Takashi Saiki
  • Patent number: 6613635
    Abstract: Threshold voltage fluctuation in upper corner portions of a trench isolation is inhibited by rounding upper corner portions of the trench by thermal oxidation, introducing a first impurity into both upper corner portions of the trench and heat-treating the semiconductor substrate. Embodiments include increasing the threshold voltage in the upper corner portion of the trench in an n-channel transistor, previously increased by rounding oxidation, and introducing a p-type impurity, thereby canceling the threshold voltage reduction resulting from diffusion of the impurity during heat-treating the semiconductor substrate. In a p-channel transistor, the threshold voltage in the upper corner portion of the trench is increased by rounding oxidation thereby canceling the threshold voltage reduction resulting from introduction of the p-type first impurity into both upper corner portions of the trench.
    Type: Grant
    Filed: December 17, 2001
    Date of Patent: September 2, 2003
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Masahiro Oda, Kazuhiro Sasada
  • Patent number: 6573166
    Abstract: A method of fabricating lightly doped drains (LDD) of different resistance values starts by providing a semiconductor wafer, the semiconductor wafer having a first active area and a second active area positioned on the substrate. Secondly, a first gate and a second gate are formed on the first active area and the second active area, respectively. A first ion implantation process is then performed to implant dopants of a first electric type on a surface of portions of the substrate within the second active area, followed by performing a second ion implantation process to implant dopants of a second electric type on a surface of portions of the substrate within the first active area and second active area. Finally, the dopants of each electric type are activated to form a first LDD and a second LDD adjacent to the first gate and the second gate, respectively, the first LDD and the second LDD being of different resistance values.
    Type: Grant
    Filed: July 3, 2001
    Date of Patent: June 3, 2003
    Assignee: United Microelectronics Corp.
    Inventor: Chin-Yang Chen
  • Publication number: 20030096471
    Abstract: In a method of making a dual work function gate electrode of a CMOS semiconductor structure, the improvement comprising formation of the dual work function gate electrode so that there is no boron penetration in the channel region and no boron depletion near the gate oxide, comprising:
    Type: Application
    Filed: November 19, 2001
    Publication date: May 22, 2003
    Applicant: Infineon Technologies North America Corp.
    Inventors: Kilho Lee, Woo-Tang Kang, Rajesh Rengarajan
  • Patent number: 6566184
    Abstract: A method of fabricating doped polysilicon structures comprising the following steps. A substrate is provided and an undoped polysilicon layer is formed over the substrate. The undoped polysilicon layer is patterned to form at least one undoped polysilicon structure within an N area and at least one undoped polysilicon structure within a P area. The at least one undoped polysilicon structure within the N area is masked, leaving exposed an upper portion of the other at least one undoped polysilicon structure within the P area. The exposed at least one undoped polysilicon structure within the P area is doped to form a P-doped polysilicon structure. An upper portion of the masked at least one undoped polysilicon structure within the N area is unmasked and exposed, and the P-doped polysilicon structure is masked. The exposed at least one undoped polysilicon structure within the N area is doped to form an N-doped polysilicon structure to complete fabrication of the doped polysilicon structures.
    Type: Grant
    Filed: February 21, 2002
    Date of Patent: May 20, 2003
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Zin-Chein Wei, Chuan-Chieh Huang, Chih-Hsiung Lee
  • Patent number: 6562676
    Abstract: A method of forming a semiconductor with n-channel and p-channel transistors with optimum gate to drain overlap capacitances for each of the different types of transistors, uses differential spacing on gate electrodes for the respective transistors. A first offset spacer is formed on the gate electrode and an n-channel extension implant is performed to create source/drain extensions for the n-channel transistors spaced an optimum distance away from the gate electrodes. Second offset spacers are formed on the first offset spacers, and a p-channel source/drain extension implant is formed to create source/drain extensions for the p-channel transistors. The increased spacing of the source/drain extension implants away from the gate electrodes in the p-channel transistors accounts for the faster diffusion of the p-type dopants in comparison to the n-type dopants.
    Type: Grant
    Filed: December 14, 2001
    Date of Patent: May 13, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Dong-Hyuk Ju
  • Patent number: 6544829
    Abstract: A method of fabricating a substantially completely silicided polysilicon gate electrode in a CMOS process flow. A hard mask material is formed on an integrated circuit substrate, where the integrated circuit substrate includes an unpatterned polysilicon layer that overlies a gate oxide layer, and a well region disposed between isolation structures. Portions of the hard mask material are removed to define gate electrode masks that overlie first portions of the unpatterned polysilicon layer and the gate oxide layer, leaving exposed second portions of the unpatterned polysilicon layer and the gate oxide layer. The integrated circuit substrate is exposed to a dopant that passes through the second portions of the gate oxide layer but does not penetrate the first portions of the gate oxide layer that underlie the gate electrode masks, which defines source drain regions in the well region.
    Type: Grant
    Filed: September 20, 2002
    Date of Patent: April 8, 2003
    Assignee: LSI Logic Corporation
    Inventors: Venkatesh Gopinath, Mohammad Mirabedini, Charles E. May, Arvind Kamath
  • Patent number: 6541329
    Abstract: A plurality of active pixel sensors are formed on the surface of a semiconductor wafer. The semiconductor wafer comprises a P-type substrate, an active pixel sensor region and a periphery circuit region. A first active pixel sensor block mask (APSB mask) is formed to cover the active pixel sensor region, then at least one N-well on the surface of the semiconductor wafer not covered by the first APSB mask is formed. A second APSB mask and at least one N-well mask are formed to cover the active pixel sensor region and the region outside the P-well region. At least one P-well on the surface of the semiconductor wafer not covered by the second APSB mask and the N-well mask is formed. Finally, at least one photodiode and at least one complementary metal-oxide semiconductor (CMOS) transistor are formed on the surface of the active pixel sensor region.
    Type: Grant
    Filed: September 7, 2001
    Date of Patent: April 1, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Chong-Yao Chen, Chen-Bin Lin, Feng-Ming Liu
  • Patent number: 6537886
    Abstract: A method for fabricating an ultra-shallow semiconductor junction using a high energy co-implantation step; a low energy dopant implantation step, and a fast isothermal annealing step is provided. Microelectronics devices such as FET and CMOS devices containing said ultra-shallow semiconductor junction is also provided herein.
    Type: Grant
    Filed: June 11, 2001
    Date of Patent: March 25, 2003
    Assignee: International Business Machines Corporation
    Inventor: Kam Leung Lee
  • Patent number: 6531365
    Abstract: A method for improving the gate activation of metal oxide semiconductor field effect transistor (MOSFET) structures are provided.
    Type: Grant
    Filed: June 22, 2001
    Date of Patent: March 11, 2003
    Assignee: International Business Machines Corporation
    Inventors: Omer H. Dokumaci, Bruce B. Doris, Peter Smeys, Isabel Y. Yang
  • Patent number: 6524904
    Abstract: After P+ ions are implanted into a polysilicon film in an nMOSFET region, a heat treatment is performed to diffuse phosphorus down to the lower part of the polysilicon film. The diffusion reduces the concentration of phosphorus in an upper end portion of the polysilicon film and inhibits the upper end edges of a gate electrode from being increased in size during patterning. Then, B+ ions are implanted into the polysilicon film in a pMOSFET region and the polysilicon film is etched into a gate configuration. Since a heat treatment for simultaneously diffusing phosphorus and boron in the polysilicon film is not performed, the entrance of boron from the gate electrode into a semiconductor substrate is inhibited, while the occurrence of side etching during the formation of an n-type polysilicon gate is suppressed.
    Type: Grant
    Filed: April 18, 2000
    Date of Patent: February 25, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Mizuki Segawa, Masatoshi Arai, Toshiki Yabu, Shunsuke Kugo
  • Publication number: 20030032232
    Abstract: A polysilicon-emitter-type transistor has a substrate with a collector region, a base region on the collector region, and an oxide layer on the base region with an emitter window therein exposing part of the base region. The polysilicon emitter is formed by forming a first polysilicon layer of approximately 30 to 100 Angstroms at least within the emitter window and at least on the exposed base region. Then, an interfacial oxide layer being approximately 5 to 50 Angstroms thick is formed in an upper portion of the first polysilicon layer, for example, by exposing the first polysilicon layer to oxygen and annealing. Then, a second polysilicon layer is formed on the interfacial oxide layer. The thickness of the second polysilicon layer may be approximately 500 to 5000 Angstroms thick. Subsequent annealing diffuses dopants in the emitter more uniformly into the base region.
    Type: Application
    Filed: August 13, 2001
    Publication date: February 13, 2003
    Inventors: Alexander Kalnitsky, Sudarsan Uppili, Sang Park
  • Publication number: 20030027395
    Abstract: A method of fabricating a DRAM semiconductor device including forming gate stacks in which a gate pattern and a gate sacrificial mask are sequentially deposited on a semiconductor substrate, forming an etch stopper on the semiconductor substrate, forming a lightly doped impurity region between the gate stacks, forming a gate spacer along sidewalls of the gate stacks, forming a heavily doped impurity region to contact the lightly doped impurity region and to be aligned with the gate spacer, removing the gate spacer, forming an interlevel dielectric layer to fill a gap between the gate stacks, forming a groove on a gate conductive layer by etching an exposed top surface of the etch stopper and the gate sacrificial mask, forming a contact mask pattern for filling the groove, forming a contact hole to be self-aligned with respect to the contact mask pattern, and forming a contact pad in the contact hole.
    Type: Application
    Filed: May 17, 2002
    Publication date: February 6, 2003
    Inventors: Byung-Jun Park, Yoo-Sang Hwang