Plural Doping Steps Patents (Class 438/305)
  • Patent number: 7608512
    Abstract: A semiconductor integrated circuit including an LDMOS device structure comprises a semiconductor layer with a pair of spaced-apart field effect gate structures over an upper surface of the semiconductor layer. First and second spaced-apart source regions of a first conductivity type are formed in a portion of the layer between the pair of gate structures with a first region of a second conductivity type formed there between. A lightly doped body region of a second conductivity type is formed in the semiconductor layer, extending from below the source regions to below the gate structures and extending a variable depth into the semiconductor layer. This body region is characterized by an inflection in depth in that portion of the body region extending below the first region.
    Type: Grant
    Filed: February 16, 2007
    Date of Patent: October 27, 2009
    Assignee: Fairchild Semiconductor Corporation
    Inventor: Jun Cai
  • Publication number: 20090263950
    Abstract: A semiconductor device includes: a p-channel MIS transistor including: a first insulating layer formed on a semiconductor region between a source region and a drain region, and containing at least silicon and oxygen; a second insulating layer formed on the first insulating layer, and containing hafnium, silicon, oxygen, and nitrogen, and a first gate electrode formed on the second insulating layer. The first and second insulating layers have a first and second region respectively. The first and second regions are in a 0.3 nm range in the film thickness direction from an interface between the first insulating layer and the second insulating layer. Each of the first and second regions include aluminum atoms with a concentration of 1×1020 cm?3 or more to 1×1022 cm?3 or less.
    Type: Application
    Filed: June 25, 2009
    Publication date: October 22, 2009
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Masato Koyama, Yoshinori Tsuchiya, Yuuichi Kamimuta, Reika Ichihara, Katsuyuki Sekine
  • Patent number: 7605045
    Abstract: Field effect transistors and methods for fabricating field effect transistors are provided. A method, in accordance with an exemplary embodiment of the invention, comprises forming a polycrystalline silicon gate electrode overlying a silicon substrate. The gate electrode has two parallel sidewalls. Two sidewall spacers are fabricated overlying the silicon substrate. Each of the two sidewall spacers has a sidewall that is adjacent to one of the two parallel sidewalls of the gate electrode. A portion of the gate electrode between the two sidewall spacers is removed.
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: October 20, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Igor Peidous, Patrick Press, Rolf Stephan
  • Patent number: 7605038
    Abstract: A high voltage semiconductor deice and a manufacturing method thereof are provided. The high voltage semiconductor device comprises: second conductive type drift regions disposed spaced from each other on a first conductive type well region formed on a first conductive type semiconductor substrate; a gate electrode on a channel region between the second conductive type drift regions with a gate insulating film disposed therebetween; second conductive type high-concentration source and drain each disposed in the second conductive type drift regions, spaced from a side of a gate electrode; a gate spacer having a spacer part covering the side of the gate electrode and a spacer extending part to cover a spaced portion of the second conductive type high-concentration source and drain from the side of the gate electrode; and a silicide formed on the gate electrode and the second conductive type high-concentration source and drain.
    Type: Grant
    Filed: July 19, 2007
    Date of Patent: October 20, 2009
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Jin Hyo Jung
  • Publication number: 20090256160
    Abstract: A method for manufacturing a semiconductor device is provided. A gate structure is formed on a substrate. A first dopant implantation and a first strain atom implantation are performed. Thereafter, spacers are formed on sidewalls of the gate structure. A second dopant implantation and a second strain atom implantation are performed. A solid-phase epitaxy annealing process is performed to form source and drain regions made of a semiconductor compound solid-phase epitaxial layer beside the gate structure.
    Type: Application
    Filed: April 11, 2008
    Publication date: October 15, 2009
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Po-Wei Liu, Cheng-Tzung Tsai, Wen-Tai Chiang
  • Patent number: 7601599
    Abstract: A method for manufacturing a semiconductor device includes the steps of (a) forming a first insulating film pattern, which has a first portion and a second portion separated from the first portion through a first space, above a semiconductor substrate, (b) selectively forming a first impurity diffusion layer in a portion of the semiconductor substrate located at least below the first space by conducting ion implantation of impurities into the semiconductor substrate by using at least the first insulating film pattern as a mask, (c) eliminating the second portion, and (d) forming a gate electrode having a functional portion above the semiconductor substrate.
    Type: Grant
    Filed: March 14, 2006
    Date of Patent: October 13, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Kiyohiko Yoshino
  • Patent number: 7602014
    Abstract: An embodiment of an MOS device includes a semiconductor substrate of a first conductivity type, a first region of the first conductivity type having a length Lacc and a net active dopant concentration of about Nfirst, a pair of spaced-apart body regions of a second opposite conductivity type and each having a length Lbody and a net active dopant concentration of about Nsecond, channel regions located in the spaced-apart body regions, source regions of the first conductivity type located in the spaced-apart body regions and separated from the first region by the channel regions, an insulated gate overlying the channel regions and the first region, and a drain region of the first conductivity type located beneath the first region. In an embodiment, (Lbody*Nsecond)=k1*(Lacc*Nfirst), where k1 has a value in the range of about 0.6?k1?1.4.
    Type: Grant
    Filed: April 24, 2008
    Date of Patent: October 13, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Edouard D. deFresart, Robert W. Baird, Ganming Qin
  • Patent number: 7601635
    Abstract: For improving the reliability of a semiconductor device having a stacked structure of a polycrystalline silicon film and a tungsten silicide film, the device is manufactured by forming a polycrystalline silicon film, a tungsten silicide film and an insulating film successively over a gate insulating film disposed over the main surface of a semiconductor substrate, and patterning them to form a gate electrode having a stacked structure consisting of the polycrystalline silicon film and tungsten silicide film. The polycrystalline silicon film has two regions, one region formed by an impurity-doped polycrystalline silicon and the other one formed by non-doped polycrystalline silicon. The tungsten silicide film is deposited so that the resistivity of it upon film formation would exceed 1000 ??cm.
    Type: Grant
    Filed: July 3, 2007
    Date of Patent: October 13, 2009
    Assignee: Renesas Technology Corp.
    Inventors: Kentaro Yamada, Masato Takahashi, Tatsuyuki Konagaya, Takeshi Katoh, Masaki Sakashita, Koichiro Takei, Yasuhiro Obara, Yoshio Fukayama
  • Patent number: 7601598
    Abstract: The present invention teaches a method of forming a MOSFET transistor having a silicide gate which is not subject to problems produced by etching a metal containing layer when forming the gate stack structure. A gate stack is formed over a semiconductor substrate comprising a gate oxide layer, a conducting layer, and a first insulating layer. Sidewall spacers are formed adjacent to the sides of the gate stack structure and a third insulating layer is formed over the gate stack and substrate. The third insulating layer and first insulating layer are removed to expose the conducting layer and, at least one unetched metal-containing layer is formed over and in contact with the conducting layer. The gate stack structure then undergoes a siliciding process with different variations to finally form a silicide gate.
    Type: Grant
    Filed: October 1, 2007
    Date of Patent: October 13, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Werner Juengling, Richard H. Lane
  • Patent number: 7598147
    Abstract: A method of forming crystalline Si:C in source and drain regions is provided. After formation of shallow trench isolation and gate electrodes of field effect transistors, gate spacers are formed on gate electrodes. Preamorphization implantation is performed in the source and drain regions, followed by carbon implantation. The upper portion of the source and drain regions comprises an amorphous mixture of silicon, germanium, and/or carbon. An anti-reflective layer is deposited to enhance the absorption of a laser beam into the silicon substrate. The laser beam is scanned over the silicon substrate including the upper source and drain region with the amorphous mixture. The energy of the laser beam is controlled so that the temperature of the semiconductor substrate is above the melting temperature of the amorphous mixture but below the glass transition temperature of silicon oxide so that structural integrity of the semiconductor structure is preserved.
    Type: Grant
    Filed: September 24, 2007
    Date of Patent: October 6, 2009
    Assignee: International Business Machines Corporation
    Inventors: Yaocheng Liu, Qiqing C. Ouyang, Kathryn T. Schonenberg, Chun-Yung Sung
  • Patent number: 7598146
    Abstract: A semiconductor structure comprises a silicon substrate of a first conductivity type including wells of a second conductivity type formed on a surface thereof. The wells may be laterally isolated by shallow trench isolation. Transistors are formed in the wells by first forming several chemically distinct layers. Anisotropic etching then forms openings in a top one of the layers. A blanket dielectric layer is formed in the openings and on the layers. Anisotropic etching removes portions of the blanket dielectric layer from planar surfaces of the substrate but not from sidewalls of the openings to form dielectric spacers separated by gaps within the openings. Gate oxides are formed by oxidation of exposed areas of the substrate. Ion implantation forms channels beneath the gate oxides. Polysilicon deposition followed by chemical-mechanical polishing defines gates in the gaps. The chemically distinct layers are then stripped without removing the dielectric spacers.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: October 6, 2009
    Assignee: STMicroelectronics, Inc.
    Inventor: Robert Louis Hodges
  • Publication number: 20090246926
    Abstract: After forming the outer drain and source regions of an N-channel transistor, the spacer structure may be removed on the basis of an appropriately designed etch stop layer so that a rigid material layer may be positioned more closely to the gate electrode, thereby enhancing the overall strain-inducing mechanism during a subsequent anneal process in the presence of the material layer and providing an enhanced stress memorization technique (SMT). In some illustrative embodiments, a selective SMT approach may be provided.
    Type: Application
    Filed: October 24, 2008
    Publication date: October 1, 2009
    Inventors: Andreas Gehring, Anthony Mowry, Andy Wei
  • Publication number: 20090246927
    Abstract: By forming a single spacer element and reducing the size thereof by a well-controllable etch process, a complex lateral dopant profile may be obtained at reduced process complexity compared to conventional triple spacer approaches in forming drain and source regions of advanced MOS transistors.
    Type: Application
    Filed: November 14, 2008
    Publication date: October 1, 2009
    Inventors: Maciej Wiatr, Roman Boschke, Anthony Mowry
  • Publication number: 20090224739
    Abstract: A transistor includes a source, a drain and a gate. The source includes a p-doped p-body, a p+ region overlapping the p-body, an n+ region overlapping the p-body in proximity to the p+ region, and an n-doped source, heavily double-diffused (SHDD) region, only into the source region of the transistor, the SHDD region having a depth about equal to that of the first n+ region and overlapping the first n+ region. The drain includes a second n+ region and an n-doped shallow drain overlapping the second n+ region. The gate includes a gate oxide and a conductive material over the gate oxide. The SHDD region extends further laterally than the first n+ region beneath the gate oxide. The SHDD region is implanted using a dopant concentration greater than that of the n-doped shallow drain but less than that of the first n+ region.
    Type: Application
    Filed: December 24, 2008
    Publication date: September 10, 2009
    Inventors: Marco A. Zuniga, Budong You
  • Patent number: 7585720
    Abstract: A dual stress liner manufacturing method and device is described. Overlapping stress liner layers of opposite effect (e.g., tensile versus compression) may be deposited over portions of the device, and the uppermost overlapping layer may be polished down in a process that uses the bottom overlapping layer as a stopper. An insulating film may be deposited on the stress liner layers before the polishing, and another insulating film may be deposited above the first insulating film after the polishing. Contacts may be formed such that the contacts need only penetrate one stress liner layer to reach a transistor well or gate structure.
    Type: Grant
    Filed: July 5, 2006
    Date of Patent: September 8, 2009
    Assignee: Toshiba America Electronic Components, Inc.
    Inventor: Gaku Sudo
  • Publication number: 20090221123
    Abstract: The thickness of drain and source areas may be reduced by a cavity etch used for refilling the cavities with an appropriate semiconductor material, wherein, prior to the epitaxial growth, an implantation process may be performed so as to allow the formation of deep drain and source areas without contributing to unwanted channel doping for a given critical gate height. In other cases, the effective ion blocking length of the gate electrode structure may be enhanced by performing a tilted implantation step for incorporating deep drain and source regions.
    Type: Application
    Filed: September 5, 2008
    Publication date: September 3, 2009
    Inventors: Uwe Griebenow, Kai Frohberg, Frank Feustel, Thomas Werner
  • Patent number: 7582520
    Abstract: A method of fabricating a metal-oxide-semiconductor transistor is provided. A first gate structure and a second gate structure are formed on a substrate. The first gate structure has a dimension greater than the second gate structure. Then, first lightly doped drain regions are formed in the substrate on two sides of the first gate structure. A lightly doped drain annealing process is performed. Next, second lightly doped drain regions are formed in the substrate on two sides of the second gate structure. First spacers are formed on the sidewalls of the first gate structure and second spacers are formed on the sidewalls of the second gate structure at the same time. Afterwards, first source/drain regions are formed in the substrate on two sides of the first spacers and second source/drain regions are formed in the substrate on two sides of the second spacers. A source/drain annealing process is performed.
    Type: Grant
    Filed: July 19, 2006
    Date of Patent: September 1, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Kun-Hsien Lee, Cheng-Tung Huang, Li-Shian Jeng, Wen-Han Hung, Shyh-Fann Ting, Jing-Yi Huang, Tzyy-Ming Cheng, Chia-Wen Liang
  • Publication number: 20090215221
    Abstract: An image sensor may include a photo diode, a transfer transistor configured to transfer a photo charge generated by the photo diode to a floating diffusion region and buried channel transistors electrically coupled to the transfer transistor, wherein each of the transistors have a buried channel. The noise of the image sensor may be reduced because a channel of the buried-channel transistors in the active pixel region may be formed apart from a defected surface of a substrate when the buried-channel transistors are turned on.
    Type: Application
    Filed: February 20, 2009
    Publication date: August 27, 2009
    Inventors: Jae-Ryung Yoo, Keun-Chan Yuk
  • Patent number: 7579246
    Abstract: An active region and an opposite conductivity active region are formed in a semiconductor substrate. The opposite conductivity active region is covered with a resist pattern. Impurities are implanted into a surface layer of the active region. An angle ?0 is defined as a tilt angle obtained by tilting a virtual plane perpendicular to the substrate and including an edge of the active region, toward the resist pattern by using as a fulcrum a point on the substrate nearest to the resist pattern, until the virtual plane contacts the resist pattern. The ion implantation is performed in a direction having a tilt angle larger than ?0 and allowing ions passed through the uppermost edge of the resist pattern to be incident upon an area between the resist pattern and the active region, and is not performed along a direction allowing the ions to be incident upon the active region.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: August 25, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Takuji Tanaka
  • Publication number: 20090203182
    Abstract: In a method of manufacturing a transistor and a method of manufacturing a semiconductor device using the same, the method may include forming a preliminary metal silicide pattern on a single-crystalline silicon substrate and on a polysilicon pattern, and partially etching the preliminary metal silicide pattern to form a first metal silicide pattern on the substrate and a second metal silicide pattern on the polysilicon pattern, the second metal silicide pattern having a line width the same as or smaller than that of the polysilicon pattern. The method may include the transistor having no metal silicide residue on the spacer. Accordingly, an operation failure due to the residue may be prevented or reduced.
    Type: Application
    Filed: January 30, 2009
    Publication date: August 13, 2009
    Inventors: Jung-Deog Lee, Ki-Chul Kim
  • Patent number: 7572697
    Abstract: A method of manufacturing flash memory devices wherein, after gate lines are formed, an HDP oxide film having at least the same height as that of a floating gate is formed between the gate lines. Spacers are formed between the remaining spaces using a nitride film. Accordingly, the capacitance between the floating gates can be lowered. After an ion implantation process is performed, spacers can be removed. It is therefore possible to secure contact margin of the device.
    Type: Grant
    Filed: May 25, 2006
    Date of Patent: August 11, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Young Ok Hong
  • Patent number: 7572706
    Abstract: A method for forming a semiconductor device is provided. The method includes forming a gate structure overlying a substrate. The method further includes forming a sidewall spacer adjacent to the gate structure. The method further includes performing an angled implant in a direction of a source side of the semiconductor device. The method further includes annealing the semiconductor device. The method further includes forming recesses adjacent opposite ends of the sidewall spacer in the substrate to expose a first type of semiconductor material. The method further includes epitaxially growing a second type of semiconductor material in the recesses, wherein the second type of semiconductor material has a lattice constant different from a lattice constant of the first type of semiconductor material to create stress in a channel region of the semiconductor device.
    Type: Grant
    Filed: February 28, 2007
    Date of Patent: August 11, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Da Zhang, Brian A. Winstead
  • Publication number: 20090197383
    Abstract: A method of fabricating a semiconductor device forms a micro-sized gate, and mitigates short channel effects. The method includes a pull-back process to form the gate on a substrate. The method also includes forming inner and outer spacers on the gate that are asymmetric to one another with respect to the gate, and using the spacers in forming junction regions in the substrate on opposite sides of the gate. In particular, the inner and outer spacers are formed on opposite sides of the gate so as to have different thicknesses at the bottom of the gate. The inner and outer junction regions are formed by doping the substrate before and after the spacers are formed. Thus, the inner and outer junction regions have extension regions under the inner and outer spacers, respectively, and the extension regions have different lengths.
    Type: Application
    Filed: January 14, 2009
    Publication date: August 6, 2009
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sung-Min Kim, Min-Sang Kim, Keun-Hwi Cho, Ji-Myoung Lee
  • Patent number: 7569444
    Abstract: A transistor includes a gate insulating layer over a semiconductor substrate; a first insulating layer on both sides of the gate insulating layer; first spacers over the first insulating layer and being spaced apart from each other; and a gate conductive plug between the first spacers. A method for manufacturing a transistor includes sequentially depositing a first insulating layer and a second insulating layer over a semiconductor substrate; etching the second insulating layer; implanting impurity ions; depositing and etching a layer of spacer material to form first spacers; removing a first portion of the first insulating layer between the first spacers; depositing a gate insulating layer the place of the first portion of the first insulating layer; forming a gate conductive plug on the gate insulating layer; forming second spacers on sidewalls of the gate conductive plug; and forming a silicide on an upper surface of the gate conductive plug.
    Type: Grant
    Filed: December 14, 2005
    Date of Patent: August 4, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Park Jeong Ho
  • Publication number: 20090179280
    Abstract: Pipe defects in n-type lightly doped drain (NLDD) regions and n-type source/drain (NDS) regions are associated with arsenic implants, while excess diffusion in NLDD and NSD regions is mainly due to phosphorus interstitial movement. Carbon implanatation is commonly used to reduce phosphorus diffusion in the NLDD, but contributes to gated diode leakage (GDL). In high threshold NMOS transistors GDL is commonly a dominant off-state leakage mechanism. This invention provides a method of forming an NMOS transistor in which no carbon is implanted into the NLDD, and the NSD is formed by a pre-amorphizing implant (PAI), a phosphorus implant and a carbon species implant. Use of carbon in the NDS allows a higher concentration of phosphorus, resulting in reduced series resistance and reduced pipe defects.
    Type: Application
    Filed: January 10, 2008
    Publication date: July 16, 2009
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Puneet Kohli, Manoj Mehrotra, Shaoping Tang
  • Patent number: 7557022
    Abstract: Formation of an NMOS transistor is disclosed, where at least one of carbon, atomic fluorine and molecular fluorine (F2) are combined with implantations of at least one of arsenic, phosphorous and antimony. The dopant combinations can be used in LDD implantations to form source/drain extension regions, as well as in implantations to form halo regions and/or source/drain regions. The combinations of dopants help to reduce sheet resistance and increase carrier mobility, which in turn facilitates device scaling and desired device performance.
    Type: Grant
    Filed: June 13, 2006
    Date of Patent: July 7, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Mahalingam Nandakumar, Amitabh Jain, Lahir Shaik Adam
  • Publication number: 20090166737
    Abstract: A method for manufacturing a transistor is disclosed, which is capable of improving matching characteristics of regions within a transistor or among transistors on a wafer, from wafer-to-wafer, or from lot-to-lot. The method includes forming a photoresist pattern on a semiconductor substrate including an isolation layer, forming a drift region by implanting first and second dopant ions using the photoresist pattern as a mask, forming a gate oxide layer on the semiconductor substrate, forming a poly gate on the gate oxide layer, forming source and drain regions a predetermined distance from the poly gate, and forming a silicide layer on the above structure.
    Type: Application
    Filed: November 11, 2008
    Publication date: July 2, 2009
    Inventor: Bong Kil KIM
  • Publication number: 20090155973
    Abstract: A semiconductor device includes a gate insulating film which is formed on the major surface of a semiconductor substrate, a gate electrode which is formed on the gate insulating film, a first offset-spacer which is formed in contact with one side surface of the gate electrode, a first spacer which is formed in contact with the other side surface of the gate electrode, a second spacer which is formed in contact with the first offset-spacer, and source and drain regions which are formed apart from each other in the major surface of the semiconductor substrate below the first and second spacers so as to sandwich the gate electrode and the first offset-spacer. The source region is formed at a position deeper than the drain region. The dopant concentration of the source region is higher than that of the drain region.
    Type: Application
    Filed: February 19, 2009
    Publication date: June 18, 2009
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Hideji TSUJII
  • Patent number: 7544553
    Abstract: To form a semiconductor device, a silicon (e.g., polysilicon) gate layer is formed over a gate dielectric and a sacrificial layer (preferably titanium nitride) is formed over the silicon gate layer. The silicon gate layer and the sacrificial layer are patterned to form a gate structure. A spacer, such as an oxide sidewall spacer and a nitride sidewall spacer, is formed adjacent the sidewall of the gate structure. The semiconductor body is then doped to form a source region and a drain region that are self-aligned to the spacers. The sacrificial layer can then be removed selectively with respect to the oxide sidewall spacer, the nitride sidewall spacer and the silicon gate. A metal layer (e.g., nickel) is formed over the source region, the drain region and the silicon gate and reacted with these regions to form a silicided source contact, a silicided drain contact and a silicided gate.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: June 9, 2009
    Assignee: Infineon Technologies AG
    Inventors: Marcus Culmsee, Hermann Wendt, Lothar Doni
  • Patent number: 7544556
    Abstract: A process for forming CMOS devices is disclosed in which disposable spacers are used to obtain a structure having improved gap-fill characteristics. First, gate film stacks are formed on the substrate. A shallow implant process is performed so as to form shallow source/drain implant regions. A layer of oxide and a layer of silicon nitride are deposited and etched to form a first set of spacers that extend on opposite sides of the gate film stacks. A second implant is performed so as to form intermediate source/drain implant regions. A set of disposable spacers are then formed that extend on opposite sides of each of the gate film stacks. A third implant process is performed so as to form deep source/drain implant regions. The disposable spacers are then removed, providing more space for the subsequently-formed contact to land.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: June 9, 2009
    Assignee: Integrated Device Technology, Inc.
    Inventors: Ken Mui, Aaron Marmorstein, Eric Lee
  • Patent number: 7541210
    Abstract: A CMOS image sensor and a method for fabricating the same are disclosed, in which transfer characteristics are improved. The method includes forming a photodiode region and a second conductive type ion region on a surface of a first conductive type substrate by implanting a second conductive type impurity ion into an entire surface of the substrate where a transistor is to be formed, forming a second conductive type lightly doped ion region in the substrate corresponding to the photodiode region by lightly implanting a second conductive type impurity ion only in an area where the photodiode region is opened, and diffusing the second conductive type lightly doped ion region into the second conductive type ion region by a thermal process.
    Type: Grant
    Filed: December 28, 2005
    Date of Patent: June 2, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: In Gyun Jeon
  • Publication number: 20090134477
    Abstract: A semiconductor device and a method of fabricating the same include a gate electrode formed over the silicon substrate, the gate electrode including low-concentration conductive impurity regions, a high-concentration conductive impurity region formed between the low-concentration conductive impurity regions and a first silicide layer formed over the high-concentration conductive impurity region, and contact electrodes including a first contact electrode connected electrically to the gate electrode and a second contact electrode connected electrically to source/drain regions. The first contact electrode contacts the uppermost surface of the gate electrode and a sidewall of the gate electrode. The gate electrode can be easily connected to the contact electrode, the high-concentration region can be disposed only on the channel region, making it possible to maximize overall performance of the semiconductor device.
    Type: Application
    Filed: November 25, 2008
    Publication date: May 28, 2009
    Inventor: Dae-Kyeun Kim
  • Publication number: 20090130805
    Abstract: The present invention is a method for forming super steep doping profiles in MOS transistor structures. The method comprises forming a carbon containing layer (110) beneath the gate dielectric (50) and source and drain regions (80) of a MOS transistor. The carbon containing layer (110) will prevent the diffusion of dopants into the region (40) directly beneath the gate dielectric layer (50).
    Type: Application
    Filed: January 20, 2009
    Publication date: May 21, 2009
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Jeffrey A. Babcock, Angelo Pinto, Scott Balster, Alfred Haeusler, Gregory E. Howard
  • Patent number: 7534707
    Abstract: MOS transistors have an active region defined in a portion of a semiconductor substrate, a gate electrode on the active region, and drain and source regions in the substrate. First and second lateral protrusions extend from the lower portions of respective sidewalls of the gate electrode. The drain region has a first lightly-doped drain region under the first lateral protrusion, a second lightly-doped drain region adjacent the first lightly-doped drain region, and a heavily-doped drain region adjacent to the second lightly-doped drain region. The source region similarly has a first lightly-doped source region under the second lateral protrusion, a second lightly-doped source region adjacent the first lightly-doped source region, and a heavily-doped source region adjacent to the second lightly-doped source region. The second lightly-doped regions are deeper than the first lightly-doped regions, and the gate electrode may have an inverted T-shape.
    Type: Grant
    Filed: November 16, 2006
    Date of Patent: May 19, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Shin-Ae Lee, Dong-gun Park, Chang-sub Lee, Jeong-dong Choe, Sung-min Kim, Seong-ho Kim
  • Publication number: 20090117701
    Abstract: A method for manufacturing a MOS transistor includes performing a thermal treatment to repair damaged substrate before forming source/drain extension regions, accordingly negative bias temperature instability (NBTI) is reduced. Since the thermal treatment is performed before forming the source/drain extension regions, heat budget for forming the source/drain extension regions and junction depth and junction profile of the source/drain extension would not be affected. Therefore the provided method for manufacturing a MOS transistor is capable of reducing short channel effect and possesses a superior process compatibility.
    Type: Application
    Filed: November 1, 2007
    Publication date: May 7, 2009
    Inventors: Meng-Yi Wu, Kun-Hsien Lee, Cheng-Tung Huang, Wen-Han Hung, Shyh-Fann Ting, Li-Shian Jeng, Chung-Min Shih, Yao-Chin Cheng, Tzyy-Ming Cheng
  • Patent number: 7524715
    Abstract: A memory cell transistor of a DRAM device is provided. A gate stack pattern is formed on a semiconductor substrate. A DC node and a BC node are formed substantially under lateral sides of the gate stack pattern in the semiconductor substrate. The DC node and the BC node are being electrically connected to a bit line and a storage electrode of a capacitor, respectively. A first source/drain junction region is formed under the DC node and a second source/drain junction region is formed under the BC node. The first source/drain junction region has a profile which is different from that of the second source/drain junction region.
    Type: Grant
    Filed: August 24, 2005
    Date of Patent: April 28, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Su-jin Ahn
  • Patent number: 7524682
    Abstract: A method for monitoring a temperature in a thermal process is described. A monitor substrate is provided and subject to ion implantation, and a characteristic parameter of the monitor substrate correlated to the disorder degree of the lattice structure of the same is measured to obtain a first value. The monitor substrate is then subject to the thermal process, and the characteristic parameter of the monitor substrate is measured again to obtain a second value. The difference between the first value and the second value is calculated to derive the temperature in the thermal process.
    Type: Grant
    Filed: June 15, 2006
    Date of Patent: April 28, 2009
    Assignee: United Microelectronics Corp.
    Inventor: Chen-Liang Weng
  • Publication number: 20090101894
    Abstract: A method for fabricating a metal-oxide semiconductor transistor is disclosed. First, a semiconductor substrate having a gate structure thereon is provided, and a spacer is formed around the gate structure. An ion implantation process is performed to implant a molecular cluster containing carbon, boron, and hydrogen into the semiconductor substrate at two sides of the spacer for forming a doped region. The molecular weight of the molecular cluster is preferably greater than 100. Thereafter, a millisecond annealing process is performed to activate the molecular cluster within the doped region.
    Type: Application
    Filed: November 28, 2008
    Publication date: April 23, 2009
    Inventors: Tsai-Fu Hsiao, Ching-I Li, Po-Yuan Chen, Chun-An Lin, Hsiang-Ying Wang, Chao-Chun Chen, Chin-Cheng Chien
  • Patent number: 7514332
    Abstract: A method for manufacturing a semiconductor device includes the steps of (a) forming a first region by selectively ion-implanting a second conductive type impurity into a first conductive type semiconductor layer without thermally diffusing an impurity, (b) forming a gate electrode including an edge vicinity region that is aligned with the first region in the horizontal position, and (c) forming a body layer including the first region and a second region that is formed adjacent to the first region and self-aligned with the first region and an edge of the gate electrode by forming the second region with a step of selectively ion-implanting a second conductive type impurity into the first conductive type semiconductor layer without thermally diffusing an impurity.
    Type: Grant
    Filed: March 6, 2006
    Date of Patent: April 7, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Hiroyuki Tanaka
  • Patent number: 7510955
    Abstract: A multi-fin field effect transistor includes a substrate, an oxide layer, a conductive layer, a gate oxide layer, and a doped region is provided. The substrate is surrounded by a trench, and there are at least two fin-type silicon layers formed in the substrate in a region prepared to form a gate thereon. The oxide layer is disposed in the trench and the top surface of the oxide layer is lower than that of the fin-type silicon layers. The conductive layer is disposed in the region prepared to form a gate. The top surface of the conductive layer is higher than that of the fin-type silicon layers. The gate oxide layer is disposed between the conductive layer and the fin-type silicon layers and disposed between the conductive layer and the substrate. The doped region is disposed in the substrate on both sides of the conductive layer.
    Type: Grant
    Filed: August 2, 2006
    Date of Patent: March 31, 2009
    Assignee: ProMOS Technologies Inc.
    Inventor: Hsiao-Che Wu
  • Publication number: 20090079008
    Abstract: Ultra high temperature (UHT) anneals above 1200 C for less than 100 milliseconds for PMOS transistors reduce end of range dislocations, but are incompatible with stress memorization technique (SMT) layers used to enhance NMOS on-state current. This invention reverses the conventional order of forming the NMOS first by forming PSD using carbon co-implants and UHT annealing them before implanting the NSD and depositing the SMT layer. End of range dislocation densities in the PSD space charge region below 100 cm?2 are achieved. Tensile stress in the PMOS from the SMT layer is significantly reduced. The PLDD may also be UHT annealed to reduce end of range dislocations close to the PMOS channel.
    Type: Application
    Filed: September 12, 2008
    Publication date: March 26, 2009
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Mahalingam Nandakumar, Song Zhao, Amitabh Jain
  • Patent number: 7504309
    Abstract: A method forms a gate conductor over a substrate, and simultaneously forms spacers on sides of the gate conductor and a gate cap on the top of the gate conductor. Isolation regions are formed in the substrate and the method implants an impurity into exposed regions of the substrate not protected by the gate conductor and the spacers to form source and drain regions. The method deposits a mask over the gate conductor, the spacers, and the source and drain regions. The mask is recessed to a level below a top of the gate conductor but above the source and drain regions, such that the spacers are exposed and the source and drain regions are protected by the mask. With the mask in place, the method then safely removes the spacers and the gate cap, without damaging the source/drain regions or the isolation regions (which are protected by the mask). Next, the method removes the mask and then forms silicide regions on the gate conductor and the source and drain regions.
    Type: Grant
    Filed: October 12, 2006
    Date of Patent: March 17, 2009
    Assignee: International Business Machines Corporation
    Inventors: Thomas W. Dyer, Sunfei Fang, Jiang Yan, Jun Jung Kim, Yaocheng Liu, Huilong Zhu
  • Patent number: 7504293
    Abstract: A fabrication method for a semiconductor device includes a step of forming a gate insulating film on a semiconductor layer, and a step of forming a first gate electrode layer on the gate insulating film. The fabrication method also includes a step of forming a pocket ion region under the first gate electrode layer, and a step of forming a second gate electrode layer overlaying the first gate electrode layer after forming the pocket ion region.
    Type: Grant
    Filed: December 8, 2006
    Date of Patent: March 17, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Marie Mochizuki
  • Patent number: 7501322
    Abstract: A semiconductor memory device includes a semiconductor substrate having a trench therein. First and second gate patterns are formed on a surface of the substrate adjacent the trench, a respective one of which is on a respective opposing side of the trench. A split source/drain region is formed in the substrate between the first gate pattern and the second gate pattern such that the split source/drain region is divided by the trench. The split source/drain region includes a first source/drain subregion between the first gate pattern and the trench and a second source/drain subregion between the second gate pattern and the trench and spaced apart from the first source/drain subregion. A connecting region is formed in the substrate that extends around the trench from the first source/drain subregion to the second source/drain subregion. Related methods are also discussed.
    Type: Grant
    Filed: November 10, 2006
    Date of Patent: March 10, 2009
    Assignee: Sungwoo Electronics Co., Ltd.
    Inventors: Sung-Hoi Hur, Jung-Dal Choi
  • Publication number: 20090057760
    Abstract: A semiconductor device and fabricating method thereof are disclosed, by which channel mobility is enhanced and by which effect of flicker noise can be minimized. Embodiments relate to a method of fabricating a semiconductor device which includes forming a first epi-layer over a substrate, forming a second epi-layer over the first epi-layer, forming a gate electrode over the second epi-layer, forming a spacer over both sides of the gate electrode, etching an area adjacent both sides of the spacer to a depth of the substrate, forming an LDD region in a region under the spacer, and forming a third epi-layer for a source/drain region over the etched area adjacent both of the sides of the spacer.
    Type: Application
    Filed: August 24, 2008
    Publication date: March 5, 2009
    Inventor: Yong-Soo Cho
  • Publication number: 20090059111
    Abstract: Disclosed is an LCD driver IC. The LCD driver IC can include a first conductive type well formed in a substrate, a second conductive type drift region formed in the first conductive type well, a first isolation layer formed in the second conductive type drift region, a gate formed on the substrate at a first side of the first isolation layer, and a second conductive type first ion implantation region formed in the second conductive type drift region between the first isolation layer and the gate.
    Type: Application
    Filed: August 26, 2008
    Publication date: March 5, 2009
    Inventor: DUCK KI JANG
  • Patent number: 7494885
    Abstract: According to one exemplary embodiment, a method for forming a field effect transistor on a substrate comprises a step of forming disposable spacers adjacent to a gate stack situated on the substrate, where the disposable spacers comprise amorphous carbon. The disposable spacers can be formed by depositing a layer of amorphous carbon on the gate stack and anisotropically etching the layer of amorphous carbon. The method further comprises forming source and drain regions in the substrate, where the source and drain regions are situated adjacent to the disposable spacers. According to this exemplary embodiment, the method further comprises removing the disposable spacers, where the removal of the disposable spacers causes substantially no gouging in the substrate. The disposable spacers can be removed by using a dry etch process. The method can further comprise forming extension regions in the substrate adjacent to the gate stack prior to forming the disposable spacers.
    Type: Grant
    Filed: April 5, 2004
    Date of Patent: February 24, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mario M. Pelella, Darin A. Chan, Kei-Leong Ho, Lu You
  • Patent number: 7491616
    Abstract: The invention relates to a method of manufacturing a semiconductor device (10) in which a semiconductor body (1) of silicon is provided, at a surface thereof, with a semiconductor region (4) of a first conductivity type, in which region a second semiconductor region (2A, 3A) of a second conductivity type, opposite to the first conductivity type, is formed forming a pn-junction with the first semiconductor region (4) by the introduction of dopant atoms of the second conductivity type into the semiconductor body (1), and wherein, before the introduction of said dopant atoms, an amorphous region is formed in the semiconductor body (1) by means of an amorphizing implantation of inert atoms, and wherein, after the amorphizing implantation, temporary dopant atoms are implanted in the semiconductor body (1), and wherein, after introduction of the dopant atoms of the second conductivity type, the semiconductor body is annealed by subjecting it to a heat treatment at a temperature in the range of about 500 to about 80
    Type: Grant
    Filed: March 7, 2005
    Date of Patent: February 17, 2009
    Assignee: NXP B.V.
    Inventor: Bartlomiej Jan Pawlak
  • Publication number: 20090042351
    Abstract: A method for forming a semiconductor device on a semiconductor material layer includes forming a gate structure over the semiconductor material layer. The method further includes forming a first nitride spacer adjacent to the gate structure and forming source/drain extensions in the semiconductor material layer. The method further includes forming an oxide liner overlying the gate structure and the source/drain extensions. The method further includes forming a second nitride spacer adjacent to the oxide liner. The method further includes forming source/drain regions in the semiconductor material layer. The method further includes using an etching process that is selective to the oxide liner, removing the second nitride spacer. The method further includes using an etching process that is selective to the first nitride spacer, at least partially removing the oxide liner. The method further includes forming silicide regions overlying the source/drain regions and the gate structure.
    Type: Application
    Filed: August 8, 2007
    Publication date: February 12, 2009
    Inventors: Xiangzheng Bo, Venkat R. Kolagunta, Konstantin V. Loiko
  • Publication number: 20090035912
    Abstract: In order to diversify a current control method of a semiconductor device, improve performance (including a current drive performance) of the semiconductor device, and reduce a size of the semiconductor device, a second gate may be formed inside a substrate that forms a channel upon applying a bias voltage thereto. In one aspect, the semiconductor device includes: a well region of a first conductivity; source and drain regions of a second conductivity in the well region; a first gate on an oxide layer above the well region, controlling a first channel region of a second conductivity between the source region and the drain region; and a second gate under the first channel region.
    Type: Application
    Filed: October 15, 2008
    Publication date: February 5, 2009
    Inventor: Hyung Sun YUN