Plural Doping Steps Patents (Class 438/305)
  • Publication number: 20120094461
    Abstract: First gate electrodes of memory cell transistors are formed in series with each other on a semiconductor substrate. A second gate electrode of a first selection transistor is formed adjacent to one end of the first electrodes. A third gate electrode of a second selection transistor is formed adjacent to the second electrode. A fourth gate electrode of a peripheral transistor is formed on the substrate. First, second, and third sidewall films are formed on side surfaces of the second, third, and fourth gate electrodes, respectively. A film thickness of the third sidewall film is larger than that of the first and second sidewall films. A space between the first electrode and the second electrode is larger than a space between the first electrodes, and a space between the second electrode and the third electrode is larger than a space between the first electrode and the second electrode.
    Type: Application
    Filed: December 21, 2011
    Publication date: April 19, 2012
    Inventors: Atsuhiro Sato, Fumitaka Arai
  • Publication number: 20120088343
    Abstract: A vertical semiconductor device, a DRAM device, and associated methods, the vertical semiconductor device including single crystalline active bodies vertically disposed on an upper surface of a single crystalline substrate, each of the single crystalline active bodies having a first active portion on the substrate and a second active portion on the first active portion, and the first active portion having a first width smaller than a second width of the second active portion, a gate insulating layer on a sidewall of the first active portion and the upper surface of the substrate, a gate electrode on the gate insulating layer, the gate electrode having a linear shape surrounding the active bodies, a first impurity region in the upper surface of the substrate under the active bodies, and a second impurity region in the second active portion.
    Type: Application
    Filed: December 14, 2011
    Publication date: April 12, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Yong-Hoon SON, Jong-Wook Lee, Jong-Hyuk Kang
  • Patent number: 8143094
    Abstract: A manufacturing method of a silicon carbide semiconductor device in which an electric field applied to a gate oxide film can be relaxed and thereby reliability can be ensured, and by the method manufacturing costs can be reduced. Well regions, channel regions, and gate electrodes are formed so that, given that extending lengths, with respect to the inner sides of source regions, of each of the well regions, the channel regions, and the gate electrodes are Lwell, Lch, and Lg, respectively, a relationship of Lch<Lg<Lwell is satisfied; and the channel regions are further formed by diffusing by activation annealing boron as a third impurity, having been implanted by activation annealing into the source regions, into a silicon carbide layer.
    Type: Grant
    Filed: June 20, 2011
    Date of Patent: March 27, 2012
    Assignee: Mitsubishi Electric Corporation
    Inventor: Yoichiro Tarui
  • Patent number: 8138052
    Abstract: A transistor is provided. The transistor includes a silicon layer including a source region and a drain region. A gate stack is disposed on the silicon layer between the source region and the drain region. The gate stack comprises a first layer of a high dielectric constant material, a second layer comprising a metal or metal alloy, and a third layer comprising silicon or polysilicon. A lateral extent of the second layer of the gate stack is substantially greater than a lateral extent of the third layer of the gate stack. Also provided are methods for fabricating such a transistor.
    Type: Grant
    Filed: August 19, 2009
    Date of Patent: March 20, 2012
    Assignee: International Business Machines Corporation
    Inventors: Leland Chang, Isaac Lauer, Jeffrey W. Sleight
  • Patent number: 8124511
    Abstract: One aspect provides a method of manufacturing a semiconductor device having reduced N/P or P/N junction crystal disorder. In one aspect, this improvement is achieved by forming gate electrodes over a semiconductor substrate, amorphizing the semiconductor substrate that creates amorphous regions adjacent the gate electrodes to a depth in the semiconductor substrate. Source/drains are formed adjacent the gate electrodes by placing conductive dopants in the semiconductor substrate, wherein displaced substrate atoms and the conductive dopants are contained within the depth of the amorphous regions. The semiconductor substrate is annealed to re-crystallize the amorphous regions subsequent to forming the source/drains.
    Type: Grant
    Filed: December 6, 2007
    Date of Patent: February 28, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Amitabh Jain
  • Publication number: 20120044720
    Abstract: A semiconductor device has a well region formed within a substrate. A gate structure is formed over a surface of the substrate. A source region is formed within the substrate adjacent to the gate structure. A drain region is formed within the substrate adjacent to the gate structure. A first clamping region and second clamping region below the source region and drain region. A trench is formed through the source region. The trench allows the width of the source region to be reduced to 0.94 to 1.19 micrometers. A plug is formed through the trench. A source tie is formed through the trench over the plug. An interconnect structure is formed over the source region, drain region, and gate structure. The semiconductor device can be used in a power supply to provide a low voltage to electronic equipment such as a portable electronic device and data processing center.
    Type: Application
    Filed: August 20, 2010
    Publication date: February 23, 2012
    Applicant: GREAT WALL SEMICONDUCTOR CORPORATION
    Inventors: Patrick M. Shea, Samuel J. Anderson
  • Patent number: 8119470
    Abstract: Sidewall spacers that are primarily oxide, instead of nitride, are formed adjacent to a gate stack of a CMOS transistor. Individual sidewall spacers are situated between a conductive gate electrode of the gate stack and a conductive contact of the transistor. As such, a capacitance can develop between the gate electrode and the contact, depending on the dielectric constant of the interposed sidewall spacer. Accordingly, forming sidewall spacers out of oxide, which has a lower dielectric constant than nitride, mitigates capacitance that can otherwise develop between these features. Such capacitance is undesirable, at least, because it can inhibit transistor switching speeds. Accordingly, fashioning sidewall spacers as described herein can mitigate yield loss by reducing the number of devices that have unsatisfactory switching speeds and/or other undesirable performance characteristics.
    Type: Grant
    Filed: March 21, 2007
    Date of Patent: February 21, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Shashank Sureshchandra Ekbote, Borna Obradovic, Lindsey Hall, Craig Huffman, Ajith Varghese
  • Publication number: 20120038008
    Abstract: In one aspect of the present invention, a method for fabricating a field effect transistor device includes forming a dummy gate stack on a first portion of a substrate, forming a source region and a drain region adjacent to the dummy gate stack, forming a ion doped source extension portion in the substrate, forming an ion doped drain extension portion in the substrate, forming a first spacer portion adjacent to the dummy gate stack, removing the dummy gate stack to expose a channel region of the substrate, a portion of the ion doped source extension portion, and a portion of the ion doped drain extension portion, forming a second spacer portion on the exposed portion of the ion doped source extension portion and on the exposed portion of the ion doped drain extension portion, and forming a gate stack on the exposed channel region of the substrate.
    Type: Application
    Filed: August 16, 2010
    Publication date: February 16, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Dechao Guo, Pranita Kulkarni, Ramachandran Muralidhar, Chun-Chen Yeh
  • Publication number: 20120038007
    Abstract: A method for fabricating a field effect transistor device includes forming a dummy gate stack on a first portion of a substrate, forming a source region and a drain region adjacent to the dummy gate stack, forming a ion doped source extension portion in the substrate, the source extension portion extending from the source region into the first portion of the substrate, forming an ion doped drain extension portion in the substrate, the drain extension portion extending from the drain region into the first portion of the substrate, removing a portion of the dummy gate stack to expose an interfacial layer of the dummy gate stack, implanting ions in the source extension portion and the drain extension portion to form a channel region in the first portion of the substrate, removing the interfacial layer, and forming a gate stack on the channel region of the substrate.
    Type: Application
    Filed: August 16, 2010
    Publication date: February 16, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Dechao Guo, Pranita Kulkarni, Ramachandran Muralidhar, Chun-Chen Yeh
  • Patent number: 8114747
    Abstract: A 3-D (Three Dimensional) inverter having a single gate electrode. The single gate electrode has a first gate dielectric between the gate electrode and a body of a first FET (Field Effect transistor) of a first doping type, the first FET having first source/drain regions in a semiconductor substrate, or in a well in the semiconductor substrate. The single gate electrode has a second gate dielectric between the gate electrode and a body of a second FET of opposite doping to the first FET. Second source/drain regions of the second FET are formed from epitaxial layers grown over the first source/drain regions.
    Type: Grant
    Filed: November 10, 2010
    Date of Patent: February 14, 2012
    Assignee: International Business Machines Corporation
    Inventors: Phil Christopher Felice Paone, David P. Paulsen, John E. Sheets, II, Kelly L. Williams
  • Publication number: 20120032254
    Abstract: An electrostatic discharge (ESD) protection device includes a substrate; a source region of a first conductivity type in the substrate; a drain region of the first conductivity type in the substrate; a gate electrode overlying the substrate between the source region and the drain region; and a core pocket doping region of the second conductivity type within the drain region. The core pocket doping region does not overlap with an edge of the drain region.
    Type: Application
    Filed: May 9, 2011
    Publication date: February 9, 2012
    Inventors: Ming-Tzong Yang, Ming-Cheng Lee
  • Patent number: 8110462
    Abstract: The present invention relates to electrostatic discharge (ESD) protection circuitry. Multiple techniques are presented to adjust one or more ends of one or more fingers of an ESD protection device so that the ends of the fingers have a reduced initial trigger or breakdown voltage as compared to other portions of the fingers, and in particular to central portions of the fingers. In this manner, most, if not all, of the adjusted ends of the fingers are likely to trigger or fire before any of the respective fingers completely enters a snapback region and begins to conduct ESD current. Consequently, the ESD current is more likely to be distributed among all or substantially all of the plurality of fingers rather than be concentrated within one or merely a few fingers. As a result, potential harm to the ESD protection device (e.g., from current crowding) is mitigated and the effectiveness of the device is improved.
    Type: Grant
    Filed: February 16, 2006
    Date of Patent: February 7, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Robert Michael Steinhoff
  • Patent number: 8105910
    Abstract: A silicide forming method for a semiconductor device. A silicide forming method may include forming a gate electrode by depositing a gate oxide film and/or polysilicon over a silicon substrate and patterning. A silicide forming method may include forming a nitride film spacer over sidewalls of a gate electrode and simultaneously performing source/drain implant and amophization implant over a silicon substrate. A silicide forming method may include depositing an insulating film after performing source/drain and amophization implants. A silicide forming method may include partially and/or entirely exposing a source/drain and/or gate electrode disposed under an insulating film by etching an insulating film. A silicide forming method may include applying a metal film over a silicon substrate and forming silicide over regions etched by performing heat treatment over a source/drain and/or gate electrode.
    Type: Grant
    Filed: September 24, 2009
    Date of Patent: January 31, 2012
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Hee-Jae Shin
  • Patent number: 8101487
    Abstract: A method for fabricating a semiconductor device is presented. The method includes providing a substrate and forming a gate stack over the substrate. A first laser processing to form vacancy rich regions within the substrate on opposing sides of the gate stack is performed. The vacancy rich regions have a first depth from a surface of the substrate. A first implant causing end of range defect regions to be formed on opposing sides of the gate stack at a second depth from the surface of the substrate is also carried out, wherein the first depth is proximate to the second depth.
    Type: Grant
    Filed: May 15, 2009
    Date of Patent: January 24, 2012
    Assignees: Nanyang Technological University, National University of Singapore, GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Dexter Xueming Tan, Benjamin Colombeau, Clark Kuang Kian Ong, Sai Hooi Yeong, Chee Mang Ng, Kin Leong Pey
  • Patent number: 8101479
    Abstract: A gate electrode (302) of a field-effect transistor (102) is defined above, and vertically separated by a gate dielectric layer (300) from, a channel-zone portion (284) of body material of a semiconductor body. Semiconductor dopant is introduced into the body material to define a more heavily doped pocket portion (290) using the gate electrode as a dopant-blocking shield. A spacer (304T) having a dielectric portion situated along the gate electrode, a dielectric portion situated along the body, and a filler portion (SC) largely occupying the space between the other two spacer portions is provided. Semiconductor dopant is introduced into the body to define a pair of source/drain portions (280M and 282M) using the gate electrode and spacer as a dopant-blocking shield. The filler spacer portion is removed to convert the spacer to an L shape (304). Electrical contacts (310 and 312) are formed respectively to the source/drain portions.
    Type: Grant
    Filed: March 27, 2009
    Date of Patent: January 24, 2012
    Assignee: National Semiconductor Corporation
    Inventors: D. Courtney Parker, Donald M. Archer, Sandeep R. Bahl, Constantin Bulucea, William D. French, Peter B. Johnson, Jeng-Jiun Yang
  • Patent number: 8101488
    Abstract: Embodiments of the present invention provide for a system for accelerating hydrogen ions. A hydrogen generator holding a supply of water is configured to generate a flow of hydrogen gas from the supply of water. An ion source structure is configured to generate a plurality of hydrogen ions from the flow of hydrogen gas. An accelerator tube is configured to accelerate the plurality of hydrogen ions. The supply of water has an isotopic ratio of deuterium that is smaller than the isotopic ratio of deuterium in Vienna Standard Mean Ocean Water.
    Type: Grant
    Filed: December 25, 2010
    Date of Patent: January 24, 2012
    Assignee: Twin Creeks Technologies, Inc.
    Inventors: Theodore H. Smick, Steven Richards, Geoffrey Ryding, Kenneth H Purser
  • Publication number: 20120015490
    Abstract: A method of manufacturing a semiconductor device includes forming a gate structure on a substrate; forming a sacrificial spacer may be formed on a sidewall of the gate substrate; implanting first impurities into portions of the substrate by a first ion implantation process using the gate structure and the sacrificial spacer as ion implantation masks to form source and drain regions; removing the sacrificial spacer; and implanting second impurities and carbon atoms into portions of the substrate by a second ion implantation process using the gate structure as an ion implantation mask to form source and drain extension regions and carbon doping regions, respectively.
    Type: Application
    Filed: July 15, 2011
    Publication date: January 19, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Kwan-Heum LEE, Soon-Wook JUNG, Jung-Hyun PARK, Wook-Je KIM, Jong-Sang BAN
  • Publication number: 20120003806
    Abstract: A method for fabricating an integrated device is disclosed. A sacrificial gate stack is provided with a line width narrower than the target width of the final gate structure. After performing a tilt-angle implantation process, L-shape spacers are formed over the sidewalls of the sacrificial gate stack, and offset spacers are formed over the sidewalls of the L-shape spacers. An insulating layer is formed over the offset spacers and the substrate. Then, the sacrificial gate stack and the L-shape spacers are removed to form a trench in the insulating layer. A metal gate is then filled in the trench to form the final gate structure.
    Type: Application
    Filed: June 30, 2010
    Publication date: January 5, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Shiang-Bau WANG
  • Publication number: 20110316087
    Abstract: A MOS transistor has a first stress layer formed over a silicon substrate on a first side of a channel region defined by a gate electrode, and a second stress layer formed over the silicon substrate on a second side of the channel region, the first and second stress layers accumulating a tensile stress or a compressive stress depending on a conductivity type of the MOS transistor. The first stress layer has a first extending part rising upward from the silicon substrate near the channel region along a first sidewall of the gate electrode but separated from the first sidewall of the gate electrode, and the second stress layer has a second extending part rising upward from the silicon substrate near the channel region along a second sidewall of the gate electrode but separated from the second sidewall of the gate electrode. The accumulated stress is the tensile stress if the conductivity type is an n-type, and is a compressive stress if the conductivity type is a p-type.
    Type: Application
    Filed: March 30, 2011
    Publication date: December 29, 2011
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Sergey Pidin
  • Publication number: 20110312141
    Abstract: Provided is a method of fabricating a semiconductor device. A first hard mask layer is formed on a substrate. A second hard mask layer s formed the substrate overlying the first hard mask layer. A dummy gate structure on the substrate is formed on the substrate by using at least one of the first and the second hard mask layers to pattern the dummy gate structure. A spacer element is formed adjacent the dummy gate structure. A strained region on the substrate adjacent the spacer element (e.g., abutting the spacer element). The second hard mask layer and the spacer element are then removed after forming the strained region.
    Type: Application
    Filed: August 26, 2011
    Publication date: December 22, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shun-Jang Liao, Sheng-Chen Chung, Kong-Beng Thei, Harry Chuang
  • Patent number: 8076210
    Abstract: A method for fabricating a metal-oxide semiconductor transistor is disclosed. The method includes the steps of: providing a semiconductor substrate; forming a gate structure on the semiconductor substrate; and performing a first ion implantation process to implant a first molecular cluster having carbon, boron, and hydrogen into the semiconductor substrate at two sides of the gate structure for forming a doped region, wherein the molecular weight of the first molecular cluster is greater than 100.
    Type: Grant
    Filed: March 8, 2011
    Date of Patent: December 13, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Tsai-Fu Hsiao, Ching-I Li, Po-Yuan Chen, Chun-An Lin, Hsiang-Ying Wang, Chao-Chun Chen, Chin-Cheng Chien
  • Patent number: 8076189
    Abstract: A method of forming a semiconductor device comprises forming a control electrode over a portion of a semiconductor layer, forming recesses extending into the semiconductor layer on opposing sides of the control electrode, and forming doped regions in the semiconductor layer through the recesses. The doped regions form current electrode regions of the semiconductor device and each doped region extends into the semiconductor layer from at least a base of a recess. The method further comprises forming, after forming the doped regions, strained semiconductor regions in the recesses, wherein a junction between each doped region and the semiconductor layer is formed below an interface between a strained semiconductor region and the semiconductor layer.
    Type: Grant
    Filed: April 11, 2006
    Date of Patent: December 13, 2011
    Assignee: Freescale Semiconductor, Inc.
    Inventor: John M. Grant
  • Patent number: 8067280
    Abstract: An integrated circuit having high performance CMOS devices with good short channel effects may be made by forming a gate structure over a substrate; forming pocket implant regions and source/drain extensions in the substrate; forming spacers along sides of the gate structure; and thermal annealing the substrate when forming the spacers, the thermal annealing performed at an ultra-low temperature. An integrated circuit having high performance CMOS devices with low parasitic junction capacitance may be made by forming a gate structure over a substrate; forming pocket implant regions and source/drain extensions in the substrate; forming spacers along sides of the gate structure; performing a low dosage source/drain implant; and performing a high dosage source/drain implant.
    Type: Grant
    Filed: August 14, 2008
    Date of Patent: November 29, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chih-Hao Wang, Ta-Wei Wang, Chenming Hu
  • Publication number: 20110284966
    Abstract: The alignment mark and method for making the same are described. In one embodiment, a semiconductor structure includes a plurality of gate stacks formed on the semiconductor substrate and configured as an alignment mark; doped features formed in the semiconductor substrate and disposed on sides of each of the plurality of gate stacks; and channel regions underlying the plurality of gate stacks and free of channel dopant.
    Type: Application
    Filed: May 19, 2010
    Publication date: November 24, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ming-Chang Wen, Hsien-Cheng Wang, Chun-Kuang Chen
  • Patent number: 8062946
    Abstract: A strained-channel transistor structure with lattice-mismatched zone and fabrication method thereof. The transistor structure includes a substrate having a strained channel region, comprising a first semiconductor material with a first natural lattice constant, in a surface, a gate dielectric layer overlying the strained channel region, a gate electrode overlying the gate dielectric layer, and a source region and drain region oppositely adjacent to the strained channel region, with one or both of the source region and drain region comprising a lattice-mismatched zone comprising a second semiconductor material with a second natural lattice constant different from the first natural lattice constant.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: November 22, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yee-Chia Yeo, Chun-Chieh Lin, Wen-Chin Lee, Chenming Hu
  • Patent number: 8058134
    Abstract: An annealing method includes performing an activation annealing on a wafer with a peak temperature of greater than about 1200° C., wherein the activation annealing has a first duration; and performing a defect-recovery annealing on the wafer at a defect-recovery temperature lower than the peak temperature for a second duration. The second duration is longer than the first duration. The annealing method includes no additional annealing steps at temperatures greater than about 1200° C., and no room-temperature cooling step exists between the activation annealing and the defect-recovery annealing.
    Type: Grant
    Filed: November 13, 2009
    Date of Patent: November 15, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Li-Ting Wang, Keh-Chiang Ku, Yu-Chang Lin, Nai-Han Cheng, Li-Ping Huang
  • Publication number: 20110272765
    Abstract: A MOSFET is described incorporating a common metal process to make contact to the source, drain and the metal gate respectively which may be formed concurrently with the same metal or metals.
    Type: Application
    Filed: May 8, 2010
    Publication date: November 10, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Soon-Cheon Seo, Bruce B. Doris, Chih-Chao Yang
  • Patent number: 8053272
    Abstract: A method of fabricating a semiconductor device, comprises steps of forming a common contact hole for a first conductivity-type region and a second conductivity-type region, implanting an impurity in at least one of the first conductivity-type region and the second conductivity-type region, and forming a shared contact plug by filling an electrical conducting material in the contact hole, wherein in the implanting step, an impurity is implanted in at least one of the first conductivity-type region and the second conductivity-type region such that the first conductivity-type region and the shared contact plug are brought into ohmic contact with each other, and the second conductivity-type region and the shared contact plug are brought into ohmic contact with each other.
    Type: Grant
    Filed: January 25, 2010
    Date of Patent: November 8, 2011
    Assignee: Canon Kabushiki Kaisha
    Inventors: Akira Ohtani, Takanori Watanabe, Takeshi Ichikawa
  • Patent number: 8053847
    Abstract: A method for fabricating a metal-oxide semiconductor transistor is disclosed. First, a semiconductor substrate having a gate structure thereon is provided, and a spacer is formed around the gate structure. An ion implantation process is performed to implant a molecular cluster containing carbon, boron, and hydrogen into the semiconductor substrate at two sides of the spacer for forming a doped region. The molecular weight of the molecular cluster is preferably greater than 100. Thereafter, a millisecond annealing process is performed to activate the molecular cluster within the doped region.
    Type: Grant
    Filed: November 28, 2008
    Date of Patent: November 8, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Tsai-Fu Hsiao, Ching-I Li, Po-Yuan Chen, Chun-An Lin, Hsiang-Ying Wang, Chao-Chun Chen, Chin-Cheng Chien
  • Patent number: 8053324
    Abstract: In one aspect provides a method of manufacturing a semiconductor device having improved transistor performance. In one aspect, this improvement is achieved by conducting a pre-deposition spacer deposition process wherein a temperature of a bottom region of a furnace is higher than a temperature of in the top region and is maintained for a predetermined period. The pre-deposition temperature is changed to a deposition temperature, wherein a temperature of the bottom region is lower than a temperature of the top region.
    Type: Grant
    Filed: August 1, 2007
    Date of Patent: November 8, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Bradley D. Sucher, Christopher S. Whitesell, Joshua J. Hubregsen, James H. Beatty
  • Patent number: 8053346
    Abstract: A gate in a semiconductor device is formed to have a dummy gate pattern that protects a gate. Metal lines are formed to supply power for a semiconductor device and transfer a signal. A semiconductor device includes a quad coupled receiver type input/output buffer. The semiconductor device is formed with a gate line that extends over an active region, and a gate pad located outside of the active region. The gate line and the gate pad are adjoined such that the gate line and a side of the gate pad form a line. Dummy gates may also be applied. The semiconductor device includes a first metal line patterns supplying power to a block having a plurality of cells, a second metal line pattern transferring a signal to the cells, and dummy metal line patterns divided into in a longitudinal direction.
    Type: Grant
    Filed: April 25, 2008
    Date of Patent: November 8, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Nam Gyu Ryu, Ho Ryong Kim, Won John Choi, Jae Hwan Kim, Seoung Hyun Kang, Young Hee Yoon
  • Publication number: 20110269286
    Abstract: A transistor includes a source, a drain and a gate. The source includes a p-doped p-body, a p+ region overlapping the p-body, an n+ region overlapping the p-body in proximity to the p+ region, and an n-doped source, heavily double-diffused (SHDD) region, only into the source region of the transistor, the SHDD region having a depth about equal to that of the first n+ region and overlapping the first n+ region. The drain includes a second n+ region and an n-doped shallow drain overlapping the second n+ region. The gate includes a gate oxide and a conductive material over the gate oxide. The SHDD region extends further laterally than the first n+ region beneath the gate oxide. The SHDD region is implanted using a dopant concentration greater than that of the n-doped shallow drain but less than that of the first n+ region.
    Type: Application
    Filed: July 15, 2011
    Publication date: November 3, 2011
    Inventors: Marco A. Zuniga, Budong You
  • Patent number: 8043922
    Abstract: A method of fabricating a semiconductor device, can be provided by forming gate structures for transistors on a semiconductor substrate in a cell region and in a peripheral circuit region. An offset spacer can be formed including a first material on the gate structures. A first ion implantation can be done using the gate structures and the offset spacer as an ion implantation mask to form source/drain regions. A material layer can be formed including a second material on the semiconductor substrate and on the gate structures. A material layer can be formed of a third material, having an etch selectivity with respect to the second material, on the material layer of the second material. An etch-back can be performed the material layer comprising the third material in the cell region and in the peripheral region, to simultaneously expose the source/drains region in the peripheral region and not expose the source/drain regions in the cell region.
    Type: Grant
    Filed: January 29, 2010
    Date of Patent: October 25, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jun-bum Lee, Tae-hong Ha, Seong-hwee Cheong
  • Patent number: 8043923
    Abstract: Methods of manufacturing a semiconductor device include forming a gate electrode on a semiconductor substrate, forming spacers on side walls of the gate electrode, and doping impurities into the semiconductor substrate on both sides of the spacers to form highly doped impurity regions. The spacers are selectively etched to expose portions of the semiconductor substrate, and more lightly doped impurity regions are formed in the semiconductor substrate between the highly doped impurity regions and the gate electrode.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: October 25, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Hwan Kim, Yamada Satoru
  • Patent number: 8039342
    Abstract: In a process strategy for forming sophisticated high-k metal gate electrode structures in an early manufacturing phase, the dielectric cap material may be removed on the basis of a protective spacer element, thereby ensuring integrity of a silicon nitride sidewall spacer structure, which may preserve integrity of sensitive gate materials and may also determine the lateral offset of a strain-inducing semiconductor material.
    Type: Grant
    Filed: October 19, 2010
    Date of Patent: October 18, 2011
    Assignee: GlobalFoundries Inc.
    Inventors: Uwe Griebenow, Jan Hoentschel, Thilo Scheiper, Andy Wei
  • Publication number: 20110237036
    Abstract: By ion-implanting an inert gas, for example, nitrogen into a polycrystalline silicon film in an nMIS forming region from an upper surface of the polycrystalline silicon film down to a predetermined depth, an upper portion of the polycrystalline silicon film is converted to an amorphous form to form an amorphous/polycrystalline silicon film. And then, an n-type impurity, for example, phosphorous is ion-implanted into the amorphous/polycrystalline silicon film to form an n-type amorphous/polycrystalline silicon film, the n-type amorphous/polycrystalline silicon film is processed to form a gate electrode having a gate length shorter than 0.1 ?m, a sidewall formed of an insulating film is formed on a side wall of the gate electrode, and a source/drain diffusion layer is formed. Thereafter, a cobalt silicide (CoSi2) layer is formed on an upper portion of the gate electrode by salicide technique.
    Type: Application
    Filed: June 7, 2011
    Publication date: September 29, 2011
    Inventors: Hiroyuki OHARA, Shino TAKAHASHI, Kenji KANAMITSU, Shuji MATSUO
  • Patent number: 8026135
    Abstract: A process for forming diffused region less than 20 nanometers deep with an average doping dose above 1014 cm?2 in an IC substrate, particularly LDD region in an MOS transistor, is disclosed. Dopants are implanted into a source dielectric layer using gas cluster ion beam (GCIB) implantation, molecular ion implantation or atomic ion implantation resulting in negligible damage in the IC substrate. A spike anneal or a laser anneal diffuses the implanted dopants into the IC substrate. The inventive process may also be applied to forming source and drain (S/D) regions. One source dielectric layer may be used for forming both NLDD and PLDD regions.
    Type: Grant
    Filed: August 12, 2008
    Date of Patent: September 27, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Amitabh Jain
  • Publication number: 20110230027
    Abstract: Provided are methods of forming semiconductor devices. A method may include preparing a semiconductor substrate including a first region and a second region adjacent the first region. The method may also include forming sacrificial pattern covering the second region and exposing the first region. The method may further include forming a capping layer including a faceted sidewall on the first region using selective epitaxial growth (SEG). The faceted sidewall may be separate from the sacrificial pattern. The sacrificial pattern may be removed. Impurity ions may be implanted into the semiconductor substrate.
    Type: Application
    Filed: March 21, 2011
    Publication date: September 22, 2011
    Inventors: Myung-Sun Kim, Dong-Suk Shin, Dong-Hyuk Kim, Yong-Joo Lee, Hoi-Sung Chung
  • Patent number: 8021971
    Abstract: An integrated circuit is provided including a narrow gate stack having a width less than or equal to 65 nm, including a silicide region comprising Pt segregated in a region of the silicide away from the top surface of the silicide and towards an lower portion defined by a pulldown height of spacers on the sidewalls of the gate conductor. In a preferred embodiment, the spacers are pulled down prior to formation of the silicide. The silicide is first formed by a formation anneal, at a temperature in the range 250° C. to 450° C. Subsequently, a segregation anneal at a temperature in the range 450° C. to 550° C. The distribution of the Pt along the vertical length of the silicide layer has a peak Pt concentration within the segregated region, and the segregated Pt region has a width at half the peak Pt concentration that is less than 50% of the distance between the top surface of the silicide layer and the pulldown spacer height.
    Type: Grant
    Filed: November 4, 2009
    Date of Patent: September 20, 2011
    Assignee: International Business Machines Corporation
    Inventors: Anthony G. Domenicucci, Christian Lavoie, Ahmet S. Ozcan
  • Publication number: 20110223736
    Abstract: A method of forming a semiconductor structure includes providing a substrate including a fin at a surface of the substrate, and forming a fin field-effect transistor (FinFET), which further includes forming a gate stack on the fin; forming a thin spacer on a sidewall of the gate stack; and epitaxially growing a epitaxy region starting from the fin. After the step of epitaxially growing the epitaxy region, a main spacer is formed on an outer edge of the thin spacer. After the step of forming the main spacer, a deep source/drain implantation is performed to form a deep source/drain region for the FinFET.
    Type: Application
    Filed: March 9, 2010
    Publication date: September 15, 2011
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Da-Wen Lin, Che-Min Chu, Tsung-Hung Li, Chih-Hung Tseng, Yen-Chun Lin, Chung-Cheng Wu
  • Patent number: 8017488
    Abstract: A manufacturing method of a NOR flash memory with phosphorous and arsenic ion implantations mainly implants both phosphorous and arsenic ions on a drain area of a transistor memory unit, and controls specific energy and dosage for the implantation to reduce the defects of a memory device and improve the yield rate of the NOR flash memory.
    Type: Grant
    Filed: September 18, 2009
    Date of Patent: September 13, 2011
    Assignee: EON Silicon Solutions Inc.
    Inventors: Sheng-Da Liu, Yider Wu
  • Patent number: 8012840
    Abstract: A semiconductor device includes a side wall spacer formed on the side surface of a gate electrode formed on the upper side of a semiconductor substrate with a gate insulation film therebetween, extension regions built up on the semiconductor substrate, and source/drain regions formed on the extension regions, wherein a first epitaxial layer is formed so as to fill up portions, cut out at the time of forming the side wall spacer, of the semiconductor substrate, and the extension regions are formed on the first epitaxial layer from a second epitaxial layer of a conduction type opposite to that of the first epitaxial layer.
    Type: Grant
    Filed: May 27, 2009
    Date of Patent: September 6, 2011
    Assignee: Sony Corporation
    Inventor: Atsuhiro Ando
  • Patent number: 8008158
    Abstract: A method of forming a dopant implant region in a MOS transistor device having a dopant profile having a target dopant concentration includes implanting a first concentration of dopants into a region of a substrate, where the first concentration of dopants is less than the target dopant concentration, and without annealing the substrate after the implanting step, performing at least one second implanting step to implant at least one second concentration of dopants into the region of the substrate to bring the dopant concentration in the region to the target dopant concentration.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: August 30, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tse-En Chang, Chih-Fu Chang, Bone-Fong Wu, Chieh Chih Ting, Shao Hua Wang, Pu-Fang Chen, Yen Chuang
  • Publication number: 20110207279
    Abstract: Provided is a high-k metal gate structure formed over a semiconductor fin. A nitride layer is formed over the gate structure and the semiconductor fin, using two separate deposition operations, the first forming a very thin nitride film. Implantation operations such as an LDD or a PKT implant, are carried out in between the two nitride film deposition operations. The first nitride film may be SiNx or SiCNx and the second nitride film is SiCNx with a low wet etch rate in H3PO4 and dilute HF acid. The nitride films may be combined to form low wet etch rate spacers enabling further processing operations to be carried out without damaging underlying structures and without requiring the formation of further dummy spacers. Further processing operations include epitaxial silicon/SiGe processing sequences and source/drain implanting operations carried out with the low etch rate spacers intact.
    Type: Application
    Filed: February 25, 2010
    Publication date: August 25, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chia-Pin LIN, Wen-Sheh HUANG, Tian-Choy GAN, Chia-Lung HUNG, Hsien-Chin LIN, Shyue-Shyh LIN
  • Publication number: 20110207282
    Abstract: A method for producing a tunnel field-effect transistor is disclosed. Connection regions of different doping types are produced by means of self-aligning implantation methods.
    Type: Application
    Filed: April 6, 2011
    Publication date: August 25, 2011
    Inventors: Ronald Kakoschke, Helmut Tews
  • Patent number: 8003471
    Abstract: Systems and methods for raised source/drain with super steep retrograde channel. In accordance with a first embodiment of the present invention, in one embodiment, a semiconductor device comprises a substrate comprising a surface and a gate oxide disposed above the surface comprising a gate oxide thickness. The semiconductor device further comprises a super steep retrograde channel region formed at a depth below the surface. The depth is about ten to thirty times the gate oxide thickness. Embodiments in accordance with one embodiment may provide a more desirable body biasing voltage to threshold voltage characteristic than is available under the conventional art.
    Type: Grant
    Filed: March 1, 2010
    Date of Patent: August 23, 2011
    Inventors: James B. Burr, Archisman Bagchi, Jawad Nasrullah
  • Patent number: 8003460
    Abstract: According to an illustrative example, a method of forming a semiconductor structure comprises providing a semiconductor substrate comprising a first feature and a second feature. A material layer is formed over the first feature and the second feature. A mask is formed over the first feature. At least one etch process adapted to form a sidewall spacer structure adjacent the second feature from a portion of the material layer is performed. The mask protects a portion of the material layer over the first feature from being affected by the at least one etch process. An ion implantation process is performed. The mask remains over the first feature during the ion implantation process.
    Type: Grant
    Filed: February 11, 2008
    Date of Patent: August 23, 2011
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Frank Wirbeleit, Rolf Stephan, Peter Javorka
  • Publication number: 20110195556
    Abstract: A semiconductor device includes a semiconductor layer of a first conductivity type and a first doping concentration. A first semiconductor region, used as drain, of the first conductivity type has a lower doping concentration than the semiconductor layer and is over the semiconductor layer. A gate dielectric is over the first semiconductor region. A gate electrode over the gate dielectric has a metal-containing center portion and first and second silicon portions on opposite sides of the center portion. A second semiconductor region, used as a channel, of the second conductivity type has a first portion under the first silicon portion and the gate dielectric. A third semiconductor region, used as a source, of the first conductivity type is laterally adjacent to the first portion of the second semiconductor region. The metal-containing center portion, replacing silicon, increases the source to drain breakdown voltage.
    Type: Application
    Filed: April 15, 2011
    Publication date: August 11, 2011
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Daniel PHAM, Bich-Yen NGUYEN
  • Patent number: 7993997
    Abstract: The present invention provides a method of inducing stress in a semiconductor device substrate by applying an ion implantation to a gate region before a source/drain annealing process. The source/drain region may then be annealed along with the gate which will cause the gate to expand in certain areas due to said ion implantation. As a result, stress caused by said expansion of the gate is transferred to the channel region in the semiconductor substrate.
    Type: Grant
    Filed: October 1, 2007
    Date of Patent: August 9, 2011
    Assignee: Globalfoundries Singapore Pte. Ltd.
    Inventors: Vincent Ho, Wenhe Lin, Young Way Teh, Yong Kong Siew, Bei Chao Zhang, Fan Zhang, Haifeng Sheng, Juan Boon Tan
  • Patent number: 7994015
    Abstract: NMOS transistors having controlled channel strain and junction resistance and methods for the fabrication of same are provided herein. In some embodiments, a method for forming an NMOS transistor may include providing a substrate having a p-type silicon region and a gate stack disposed thereon, the gate stack partially defining a source and a drain region; depositing an undoped first silicon layer having a lattice adjusting element atop the p-type silicon region and within the source and the drain regions; and depositing a second silicon layer having a lattice adjusting element and an n-type dopant atop the undoped first silicon layer.
    Type: Grant
    Filed: April 20, 2010
    Date of Patent: August 9, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Sunderraj Thirupapuliyur, Faran Nouri, Yonah Cho