Plural Doping Steps Patents (Class 438/306)
  • Publication number: 20010019862
    Abstract: In a semiconductor device and method for manufacturing the same, a buried insulating layer is formed on a semiconductor substrate, multiple depletion regions of a first conductivity type are formed on the buried insulating layer and separated from one another, a field oxide layer is formed among the depletion regions of the buried insulating layer, a gate oxide layer is formed on the depletion regions, a gate is formed on the gate oxide layer, impurity regions that are heavily doped with impurities of a second conductivity type is formed in the depletion regions on both sides of the gate to define a source and drain, and a counter doping layer that is lightly doped with impurities of the second conductivity type is formed under the channel defined by a portion of the depletion regions positioned between the impurity regions.
    Type: Application
    Filed: July 14, 1998
    Publication date: September 6, 2001
    Inventors: JEONG-HWAN SON, HYEONG-MO YANG
  • Publication number: 20010018251
    Abstract: Semiconductor processing methods of forming integrated circuitry are described. In one embodiment, memory circuitry and peripheral circuitry are formed over a substrate. The peripheral circuitry comprises first and second type MOS transistors. Second type halo implants are conducted into the first type MOS transistors in less than all of the peripheral MOS transistors of the first type. In another embodiment, a plurality of n-type transistor devices are formed over a substrate and comprise memory array circuitry and peripheral circuitry. At least some of the individual peripheral circuitry n-type transistor devices are partially masked, and a halo implant is conducted for unmasked portions of the partially masked peripheral circuitry n-type transistor devices. In yet another embodiment, at least a portion of only one of the source and drain regions is masked, and at least a portion of the other of the source and drains regions is exposed for at least some of the peripheral circuitry n-type transistor devices.
    Type: Application
    Filed: May 3, 2001
    Publication date: August 30, 2001
    Inventor: Luan C. Tran
  • Publication number: 20010018255
    Abstract: A MOS transistor of the present invention includes a semiconductor substrate of a first conductivity type impurity, a gate insulating layer formed on the semiconductor substrate, gate electrodes formed on the gate insulating layer, and an oxide layer formed by surface oxidation of the gate electrodes. A first spacer is formed on the side wall of the gate electrodes, and a second spacer is formed on the inclined side wall. A first impurity layer of low concentration is formed at a first depth by a second conductivity type impurity implanted in the vicinity of surface of the semiconductor substrate to be self-aligned at the edge of the gate electrode. A second impurity layer of middle concentration is formed at a deeper second depth than the first depth by the second conductivity type impurity implanted in the vicinity of surface of the semiconductor substrate.
    Type: Application
    Filed: May 2, 2001
    Publication date: August 30, 2001
    Inventors: Hyun-Sik Kim, Heon-Jong Shin, Soo-Cheol Lee
  • Patent number: 6281085
    Abstract: There is disclosed a method of manufacturing a semiconductor device capable of solving the problems that a conventional method could not secure a sufficient beam current upon ion injection when forming a junction region at shallow thickness as the integration level of'devices becomes higher, and also it causes a short channel effect etc. The method includes forming a junction region, forming a selective epitaxial growth layer and then forming a LDD region, using a facet phenomenon occurring at the edge portion of the gate electrode when forming an elevated junction structure by use of a selective epitaxial growth method. Thus, it can obtain a junction region having a very shallow depth, accomplish a higher integration level of devices and prohibit a short channel effect.
    Type: Grant
    Filed: June 28, 2000
    Date of Patent: August 28, 2001
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: In Seok Yeo
  • Patent number: 6281088
    Abstract: An SRAM cell and a method of manufacturing the same are disclosed. An SRAM cell including pull down devices, access devices and pull up devices each having source and drain regions with LDD structure, the source and drain regions of the access devices having: N+ source and drain regions; N− source and drain regions formed under the N+ source and drain regions; and P− impurity regions whose predetermined portion is overlapped with the N− source and drain region.
    Type: Grant
    Filed: June 2, 1999
    Date of Patent: August 28, 2001
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Jae-Kap Kim
  • Publication number: 20010016393
    Abstract: A semiconductor device fabrication method and resulting device in which a gate insulating film is formed on a semiconductor substrate, a gate electrode is formed on the gate insulating film, a gate cap is formed on the gate electrode, a heavy density impurity region is formed in the substrate and outside the gate electrode, first side walls are formed on sides of the gate electrode, the gate cap and the gate insulating film. The substrate outside the gate insulating film is etched down to a portion having a highest impurity density, and a light doping region surrounding the heavy impurity region is formed in the substrate. The method and resulting device prevents a hot carrier from being injected into a gate oxide film or a side wall, and reduces the generation of a junction current leakage and a short channel.
    Type: Application
    Filed: November 10, 1999
    Publication date: August 23, 2001
    Inventor: JEONG-HWAN SON
  • Publication number: 20010014508
    Abstract: A method for forming borderless contact capable of reducing junction leakage current by forming a deep junction in the source/drain region nearest the borderless contact to eliminate most of the leakage current. The method includes the steps of first forming a shallow trench isolation structure for isolating devices in a semiconductor substrate. In the subsequent step, an ion implantation is carried out implanting ions at a small tilt angle to form source/drain regions such that source/drain region nearest to the shallow trench isolation structure has a deep junction. Finally, a borderless opening is formed above the source/drain region and the shallow trench isolation structure, and then conductive material is deposited into the borderless opening to form the borderless contact.
    Type: Application
    Filed: December 7, 1998
    Publication date: August 16, 2001
    Inventors: TONY LIN, JIH-WEN CHOU
  • Patent number: 6274441
    Abstract: A method for fabricating a MOSFET device including a halo implant comprising providing a semiconductor substrate, a gate insulator layer, a conductor layer, an overlying silicide layer, and an insulating cap; patterning and etching the silicide layer and the insulating cap; providing insulating spacers along sides of said silicide layer and insulating cap; implanting node and bitline N+ diffusion regions; patterning a photoresist layer to protect the node diffusion region and supporting PFET source and drain regions and expose the bitline diffusion region and NFET source and drain regions; etching exposed spacer material from the side of said silicide layer and insulating cap; implanting a P-type impurity halo implant into the exposed bitline diffusion region and supporting NFET source and drain regions; and stripping the photoresist layer and providing an insulating spacer along the exposed side of said silicide layer and insulating cap.
    Type: Grant
    Filed: April 27, 2000
    Date of Patent: August 14, 2001
    Assignee: International Business Machines Corporation
    Inventors: Jack A. Mandelman, Ramachandra Divakaruni, William R. Tonti
  • Patent number: 6274449
    Abstract: The invention comprises a method of determining the thermal straggle of microelectronic devices having a pocket dopant implant that is formed under substantially the same doping conditions. The method comprises measuring the operating characteristics of each device (32) and obtaining a one-dimensional doping profile of dopant ions in the devices (30). A total lateral straggle of the dopant ions in the devices is determined in response to the operating characteristics and the one-dimensional doping profile of the dopant ions (34). An as-implanted straggle of the dopant ions in the devices is determined in response to the doping conditions (36). A thermal straggle of the dopant ions is calculated utilizing the as-implanted straggle and the total lateral straggle (38).
    Type: Grant
    Filed: December 18, 1998
    Date of Patent: August 14, 2001
    Assignee: Texas Instruments Incorporated
    Inventors: Karthik Vasanth, Mahalingam Nandakumar
  • Publication number: 20010012672
    Abstract: A method of forming CMOS integrated circuitry includes, a) providing a series of gate lines over a semiconductor substrate, a first gate line being positioned relative to an area of the substrate for formation of an NMOS transistor, a second gate line being positioned relative to an area of the substrate for formation of a PMOS transistor; b) masking the second gate line and the PMOS substrate area while conducting a p-type halo ion implant into the NMOS substrate area adjacent the first gate line, the p-type halo ion implant being conducted at a first energy level to provide a p-type first impurity concentration at a first depth within the NMOS substrate area; and c) in a common step, blanket ion implanting phosphorus into both the NMOS substrate area and the PMOS substrate area adjacent the first and the second gate lines to form both NMOS LDD regions and PMOS n-type halo regions, respectively, the phosphorus implant being conducted at a second energy level to provide an n-type second impurity concentration
    Type: Application
    Filed: April 10, 2001
    Publication date: August 9, 2001
    Inventors: Charles H. Dennison, Mark Helm
  • Patent number: 6261889
    Abstract: After a source-drain region is formed, fluorine 24 is ion-implanted into the entire surface of a substrate and thereafter a heat treatment is conducted, for example, at 600 to 800° C. Through this heat treatment, the dangling binds and the Si—H bonds in the channel regions 26 are substituted by the Si—F bonds, which prevents the generation of the negative bias temperature instability effect in a MOSFET.
    Type: Grant
    Filed: March 15, 2000
    Date of Patent: July 17, 2001
    Assignee: NEC Corporation
    Inventor: Atsuki Ono
  • Patent number: 6258683
    Abstract: A method and arrangement for forming a local interconnect without etching completely through a junction and causing device shorts introduces an additional ion implantation step following the etching of the local interconnect opening into the substrate. The additional ion implantation step into the active region ensures that the depth of the junction is below the depth reached by the local interconnect opening and the substrate.
    Type: Grant
    Filed: January 5, 2000
    Date of Patent: July 10, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Paul Besser, Simon S. Chan, Yowjuang Bill Liu
  • Patent number: 6258680
    Abstract: A transistor is provided with a graded source/drain junction. At least two dielectric spacers are formed in sequence upon the gate conductor. Adjacent dielectric spacers have dissimilar etch characteristics. An ion implant follows the formation of at least two of the dielectric spacers to introduce dopants into the source/drain region of the transistor. The ion implants are placed in different positions a spaced distance from the gate conductor according to a thickness of the dielectric spacers. As the implants are introduced further from the channel, the implant dosage and energy is increased. In a second embodiment, the ion implants are performed in reverse order. The dielectric spacers pre-exist on the sidewall surfaces of the gate conductor. The spacers are sequentially removed followed by an ion implant. An etchant is used which attacks the spacer to be removed but not the spacer beneath to the one being removed.
    Type: Grant
    Filed: September 16, 1998
    Date of Patent: July 10, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: H. Jim Fulford, Jr., Mark I. Gardner, Derick J. Wristers
  • Patent number: 6258674
    Abstract: The present invention discloses a high voltage field effect transistor and fabricating the same. A high voltage field effect transistor includes a semiconductor substrate, a first conductivity type well in the semiconductor substrate, first and second conductivity type drift regions in the first conductivity type well, heavily doped impurity regions having first and second conductivity types in the first conductivity type drift region, a heavily doped second conductivity type impurity region in the second conductivity type drift region, and a lightly doped second conductivity type buffer layer in the second conductivity type drift region to surround the heavily doped second conductivity type impurity region.
    Type: Grant
    Filed: January 13, 1999
    Date of Patent: July 10, 2001
    Assignee: LG Semicon Co., Ltd.
    Inventors: Oh Kyong Kwon, Mueng Ryul Lee
  • Patent number: 6255182
    Abstract: A method is described which can be used to form gate structures of very small dimensions in a semiconductor device. The method may be used to avoid employment of highly-sophisticated and cost-intensive DUV photolithography. In one illustrative embodiment, the method comprises forming a gate electrode layer, forming a first mask layer above the gate electrode layer, and forming a sidewall spacer adjacent the sidewalls of the first mask layer. Thereafter, the method comprises forming a second mask layer above a portion of the sidewall spacer and the first mask layer, removing portions of the sidewall spacer to define a hard mask comprised of a portion of the sidewall spacer, and patterning the gate electrode layer using the hard mask to define a gate electrode of the device.
    Type: Grant
    Filed: February 14, 2000
    Date of Patent: July 3, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Karsten Wieczorek, Manfred Horstmann, Frederick N. Hause
  • Patent number: 6251736
    Abstract: A process for manufacturing a MOS transistor and especially a MOS transistor used for non-volatile memory cells is presented. At the start of the manufacturing, a semiconductor substrate having a first type of conductivity is covered by a gate oxide layer. A gate electrode is formed over the gate oxide layer, which is a stacked gate when the MOS transistor is used in a non-volatile memory. Covering the gate electrode is a covering oxide that is formed over the gate oxide layer, the gate electrode, and around the gate electrode. Next, a dopant of a second type of conductivity is implanted to provide implant regions adjacent to the gate electrode. Subjecting the semiconductor to thermal treatments allows the implanted regions to diffuse into the semiconductor substrate under the gate electrode and form a gradual junction drain and source region of the MOS transistor.
    Type: Grant
    Filed: December 28, 1999
    Date of Patent: June 26, 2001
    Assignee: STMicroelectronics S.r.l.
    Inventors: Claudio Brambilla, Sergio Manlio Cereda, Paolo Caprara
  • Patent number: 6251757
    Abstract: In a method for fabricating a highly activated shallow abrupt doped junction in a semiconductor substrate, a first dopant is implanted into a predetermined surface of the semiconductor substrate to form a preamorphization junction having a first predetermined depth from the predetermined surface of the semiconductor substrate. Furthermore, a second dopant is implanted into the preamorphization junction with a dopant profile along a depth of the semiconductor substrate from the predetermined surface of the semiconductor substrate. A peak of the dopant profile is located at a fraction of the first predetermined depth of the preamorphization junction. A silicidation RTA (Rapid Thermal Anneal) is performed to form silicide on the semiconductor substrate. The silicidation RTA (Rapid Thermal Anneal) recrystallizes the preamorphization junction from the first predetermined depth of the preamorphization junction up to an unrecrystallized depth of the preamorphization junction.
    Type: Grant
    Filed: February 24, 2000
    Date of Patent: June 26, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6248637
    Abstract: An ultra-large-scale integrated (ULSI) circuit includes MOSFETs on a substrate. The MOSFETs include elevated source and drain regions. The elevated source and drain regions are adjacent ultra-shallow source and drain regions. Dopants in the ultra-shallow source and drain regions are activated in a low-temperature rapid thermal anneal process.
    Type: Grant
    Filed: September 24, 1999
    Date of Patent: June 19, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Publication number: 20010003666
    Abstract: The process flow in the fabrication of MOSFETs having a LDD is altered by using a combination of arsenic and phosphorus to tailor the lateral profile to meet both series resistance and channel hot carrier requirements. In this process flow, the relatively higher dose arsenic controls the series resistance and the lighter phosphorus dose sets the lateral junction profile. Therefore, a profile intermediate the arsenic only and the phosphorus only can be achieved. In forming a LDD in accordance with the present invention, the arsenic implant dose is relatively high. The lateral extend of the LDD is varied to meet the hot carrier lifetime by varying the lighter phosphorus implant dose. This procedure is achieved using standard process technology.
    Type: Application
    Filed: September 19, 1997
    Publication date: June 14, 2001
    Inventor: THOMAS C. HOLLOWAY
  • Patent number: 6238985
    Abstract: A semiconductor device is disclosed, including: a semiconductor substrate; a gate electrode formed on the semiconductor substrate; a first gate insulating layer formed between the gate electrode and semiconductor substrate, and formed at a first region including one edge of the gate electrode; a second gate insulating layer formed between the gate electrode and semiconductor substrate, and formed at a second portion including the other edge of the gate electrode, the second gate insulating layer being thicker than the first gate insulating layer; a first impurity region formed in a predetermined portion of the semiconductor substrate, placed on both sides of the gate electrode; and a second impurity region formed in a predetermined portion of the semiconductor substrate, placed under the second gate insulating layer.
    Type: Grant
    Filed: May 12, 1999
    Date of Patent: May 29, 2001
    Assignee: LG Semicon Co., Ltd.
    Inventor: Gyu Han Yoon
  • Patent number: 6238980
    Abstract: A method for manufacturing a SiC semiconductor device is provided in which a first conductivity type source region is formed by implanting impurity ions, using a mask provided by a pattern of an oxide film formed by thermally oxidizing a patterned polysilicon film, and a second-conductivity type base region is formed by implanting impurity ions, using a mask provided by a pattern of a polysilicon film from which the above oxide film is removed. Since the edge of the mask for forming the base region is located behind that of the mask for forming the source region due to the oxidation process, the second conductivity type base region and first conductivity type source region provide self-aligned impurity regions with uniform channel regions. Also, a polysilicon film that provides a gate electrode layer of the semiconductor device is subjected to thermal oxidation, so that the resulting oxide film provides an interlayer insulating film on the gate electrode layer.
    Type: Grant
    Filed: June 30, 1999
    Date of Patent: May 29, 2001
    Assignee: Fuji Electric Co., Ltd.
    Inventor: Katsunori Ueno
  • Patent number: 6235560
    Abstract: A method for making a transistor includes the steps of providing a silicon substrate including a silicon-germanium epitaxial layer, forming a masking implant layer on a channel region of the silicon-germanium epitaxial layer, and implanting dopants into the silicon-germanium epitaxial layer using the masking implant layer to define spaced apart source and drain regions adjacent the channel region. The method further includes the step of removing the masking implant layer after the implanting to expose the channel region. A silicon epitaxial layer is formed on the exposed channel region, and at least a portion of the silicon epitaxial layer is converted to silicon oxide to define a gate dielectric layer for the transistor. The gate dielectric layer includes a gate oxide layer, and a silicon protection layer between the gate oxide layer and the channel region. A conductive gate is formed on an upper surface of the gate oxide layer.
    Type: Grant
    Filed: August 16, 1999
    Date of Patent: May 22, 2001
    Assignee: Agere Systems Guardian Corp.
    Inventors: Yi Ma, Allen Yen
  • Patent number: 6235564
    Abstract: A method of manufacturing a MISFET includes the steps of forming a gate insulation film (2) on a semiconductor substrate (1), forming a dummy gate (3B) made of a material having an etch selectivity relative to the material of the gate insulation film (2) on the gate insulation film (2), implanting an impurity into the semiconductor substrate (1), forming an interlayer insulation film (7), made of a material having an etch selectivity relative to the material of the dummy gate (3B) on a side surface of the dummy gate (3B), etching away the dummy gate (3B), and filling a space in which the dummy gate (3B) has been present with a gate electrode material of metal. Gradually thinning the dummy gate in the step of impurity implantation allows the formation of LDD regions and the patterning of a gate electrode below a minimum patterning size limit of a photolithographic technique.
    Type: Grant
    Filed: January 20, 2000
    Date of Patent: May 22, 2001
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Yasuyoshi Itoh, Yasuo Inoue, Hidekazu Oda
  • Patent number: 6235599
    Abstract: A shallow doped junction that is part of an integrated circuit device within a semiconductor substrate is formed with box-shaped implant profiles for implantation of the amorphizing implant species and the dopant implant species such that the doped junction has minimized sheet resistance. A box-shaped implant profile for implantation of the amorphizing implant species is formed from implantation of the amorphizing implant species with a plurality of projection ranges to form a plurality of implant profiles. A box-shaped implant profile for implantation of the dopant implant species is formed from implantation of the dopant implant species with a plurality of projection ranges to form a plurality of implant profiles. In addition, each of the plurality of implant profiles for the dopant implant species is preferably below the solid solubility of the dopant implant species within the semiconductor substrate.
    Type: Grant
    Filed: October 25, 1999
    Date of Patent: May 22, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6235600
    Abstract: A process for fabricating input/output, N channel, (I/O NMOS) devices, featuring an ion implanted nitrogen region, used to reduce hot carrier electron, (HEC), injection, has been developed. The process features implanting a nitorgen region, at the interface of an overlying silicon oxide layer, and an underlying lightly doped source/drain, (LDD), region. The implantation procedure can either be performed prior to, or after, the deposition of a silicon oxide liner layer, in both cases resulting in a desired nitrogen pile-up at the oxide-LDD interface, as well as resulting, in a more graded LDD profile. An increase in the time to fail, in regards to HCE injection, for these I/O NMOS devices, is realized, when compared to counterparts fabricated without the nitrogen implantation procedure.
    Type: Grant
    Filed: March 20, 2000
    Date of Patent: May 22, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Mu-Chi Chiang, Hsien-Chin Lin, Jiaw-Ren Shih
  • Patent number: 6235596
    Abstract: A method for manufacturing a MOS device with multiple threshold voltages is provided. The method comprises providing a substrate. A shallow trench isolation structure is formed in the substrate. The top surface of the shallow trench isolation structure is higher than surface of the substrate. An active region which is surrounded by the shallow trench isolation structure is defined in the substrate. A first process of ion implantation is performed on the substrate except a portion of the substrate under the shallow trench isolation structure. A first spacer is formed on the sidewall of a portion of the shallow trench isolation structure above the substrate in the active region. A second process of ion implantation is performed on the substrate except a portion of the substrate under the shallow trench isolation structure and the first spacer.
    Type: Grant
    Filed: March 29, 1999
    Date of Patent: May 22, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Kuan-Yang Liao
  • Patent number: 6232191
    Abstract: This invention teaches methods and apparatus for forming self-aligned photosensitive material spacers about protruding structures in semiconductor devices. One embodiment of the invention is a method for forming a LDD structure, utilizing disposable photosensitive material spacers. A second embodiment of the invention comprises a method for forming a transistor, having salicided source/drain regions, utilizing photosensitive polyimide spacers for forming the salicided source/drain regions, without disposing of the spacers. A third embodiment of the invention comprises a method for creating an offset from a protruding structure on a semiconductor substrate, using disposable photosensitive material spacers.
    Type: Grant
    Filed: August 13, 1998
    Date of Patent: May 15, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Nanseng Jeng, Christophe Pierrat
  • Patent number: 6232190
    Abstract: A MOS gate and associated source/drain region structure providing three junction diodes between a source/drain contact area and the substrate, instead of the typical total of one, resulting in improved isolation of a source/drain contact area and a storage node which may be formed thereat. For fabricate the structure, a source/drain region is formed in a substrate having a space charge in the bulk or major part thereof, the source/drain region including: a first region having a space charge with a charge opposite that of a space charge in the major part of the substrate; a second region separated from the major part of the substrate by the first region and having a space charge with a charge opposite that of the space charge of the first region; and a third region separated from the first region and the major part of the substrate by the second region and having a space charge with a charge opposite that of the space charge of the second region.
    Type: Grant
    Filed: April 13, 2000
    Date of Patent: May 15, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Fernando Gonzalez, David Y. Kao
  • Patent number: 6225176
    Abstract: A method of fabricating an integrated circuit with a step source/drain junction utilizes a triple amorphization technique. The technique creates a shallow amorphous region, an intermediate region and a deep amorphous region. The doped amorphous regions can be laser-annealed to form step-like source/drain junctions and their extensions. The process can be utilized for P-channel or N-channel metal-oxide-semiconductor field-effect transistors (MOSFETs).
    Type: Grant
    Filed: February 22, 1999
    Date of Patent: May 1, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6218226
    Abstract: The invention discloses a method of forming an ESD protection device without adding the extra mask layers into the traditional CMOS process. At first, P-wells, N-wells, and isolations are formed in a semiconductor substrate. Next, an NMOS transistor with a gate dielectric layer, a gate electrode, source/drain regions, lightly doped source/drain regions, and insulator spacers is formed on the substrate. Particularly, N-wells are also formed in a part of the source/drain regions of the NMOS transistor. Thereafter, ESD protection regions are formed under the source/drain regions by performing P+ ESD protection implantation. Such ESD protection device has a low junction breakdown voltage, quick response speed, and a small junction capacitance.
    Type: Grant
    Filed: January 21, 2000
    Date of Patent: April 17, 2001
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Geeng-Lih Lin, Ming-Dou Der
  • Patent number: 6218228
    Abstract: A DMOS device structure includes a lightly doped semiconductor layer of a first conductivity type, a plurality of lightly doped semiconductor regions of a second conductivity type extending from a top surface of the lightly doped semiconductor layer thereinto, source regions of the first conductivity type contained in the lightly doped semiconductor regions and defining channel regions. The lightly doped semiconductor regions are contained in respective enhancement regions of the lightly doped semiconductor layer of the same conductivity type as, but with a lower resistivity than, the lightly doped semiconductor layer.
    Type: Grant
    Filed: May 14, 1997
    Date of Patent: April 17, 2001
    Assignee: Consorzio per la Ricerca sulla Microelettronica nel Mezzogiorno
    Inventor: Raffaele Zambrano
  • Patent number: 6214668
    Abstract: A channel write/erase flash memory cell structure together with its method of manufacture and mode of operation. The flash memory cell structure is formed by implanting P-type ions into a substrate to form a shallow-doped region, and then implanting N-type ions to form the drain terminal of the flash memory cell. Next, a deep-doped region that acts as a P-well is formed underneath the drain terminal. Method of manufacturing the channel write/erase memory cell and its mode of operation is also discussed.
    Type: Grant
    Filed: May 4, 2000
    Date of Patent: April 10, 2001
    Assignee: e-Memory Technology, Inc.
    Inventors: Ching-Hsiang Hsu, Ching-Song Yang
  • Patent number: 6214655
    Abstract: Semiconductor devices of different conductivity types are formed on a semiconductor substrate using a minimal number of critical masks. Embodiments include forming conductive gates on the main surface of the semiconductor substrate, and disposable amorphous silicon spacers on the sidewalls of the gates. A photoresist mask is then formed on gates and portions of the main surface intended to be implanted with impurities of a first conductivity type. Moderate or heavy source/drain implants of a second impurity type are then formed in the substrate, the disposable spacers on the unmasked gates are then removed, and lightly or moderately doped source/drain extension implants of the second impurity type are formed in the substrate. The first mask is then removed and a second photoresist mask is formed on the previously uncovered gates and implanted portions of the main surface.
    Type: Grant
    Filed: March 26, 1999
    Date of Patent: April 10, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Raymond T. Lee, Zicheng Gary Ling
  • Patent number: 6211023
    Abstract: A method for fabricating a metal-oxide semiconductor (MOS) transistor. A substrate having a gate structure is provided. The method of the invention includes forming a liner spacer on each side of the gate structure and a low dopant density region deep inside the substrate. The low dopant density region has a lower dopant density than that of a lightly doped region of the MOS transistor. Then a interchangeable source/drain region with a lightly doped drain (LDD) structure and an anti-punch-through region is formed on each side of the gate structure in the low dopant density region. The depth of the interchangeable source/drain region is not necessary to be shallow.
    Type: Grant
    Filed: November 12, 1998
    Date of Patent: April 3, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Kuan Yeh, Jih-Wen Chou
  • Patent number: 6207518
    Abstract: Disclosed is a method of manufacturing a semiconductor device which includes a source region, a channel region, a drain region, a gate electrode formed on the channel region through a gate insulating film 6 and a drift region (N− layer 22) formed between the channel region and the drain region, wherein the process of forming the drift region (N− layer) comprises the steps of: ion-implanting and diffusing at least two kinds of second conduction type impurities (e.g. phosphorus and arsenic ions) having different diffusion coefficients in a P-type well region 21; ion-implanting at least one kind first conduction type impurities (e.g. boron ions) having a diffusion coefficient substantially equal to or larger than that of at least one of said second conduction type impurities (e.g. phosphorus); and diffusing the first conduction type impurities after the gate insulating film 6 has been formed.
    Type: Grant
    Filed: February 24, 2000
    Date of Patent: March 27, 2001
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Yumiko Akaishi, Shuichi Kikuchi
  • Patent number: 6207516
    Abstract: A method of fabricating a gate oxide layer of different thickness. A substrate having a gate oxide layer and a gate is provided and a portion of the gate oxide layer is removed. A first thermal oxide layer is formed to cover the surface of the substrate and the gate and a masking layer is formed to cover the first thermal oxide layer. The masking layer is defined and a portion of the thermal oxide layer is removed to expose a portion of the surface of the gate and the substrate. A first implantation is performed and a drain region is formed at the side of the gate. A second thermal oxide layer is then formed to cover the exposing substrate and the exposing gate. The masking layer is removed and the second thermal oxide layer and the first thermal oxide layer are etched back to expose the surface of the gate and the second thermal oxide layer becomes a spacer structure.
    Type: Grant
    Filed: December 17, 1998
    Date of Patent: March 27, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Tien-Hao Tang
  • Patent number: 6204135
    Abstract: A thin-film system is deposited onto a surface of a semiconductor region. After at least one window has been opened in the thin-film system, the window serves as a mask for a first selective processing of a first semiconductor partial region. By undercutting the thin-film system, the edge of the window is drawn back approximately uniformly by a mean undercutting depth. The at least one enlarged window serves as a mask for a second selective processing of a second semiconductor partial region. A semiconductor structure is also provided.
    Type: Grant
    Filed: January 31, 2000
    Date of Patent: March 20, 2001
    Assignee: SICED Electronics Development GmbH & Co KG
    Inventors: Dethard Peters, Reinhold Schörner
  • Patent number: 6200869
    Abstract: A method of fabricating an integrated circuit with ultra-shallow source/drain junctions utilizes a solid-phase impurity source. The solid-phase impurity source can be a doped silicon dioxide layer approximately 300 nm thick. The structure is thermally annealed to drive dopants from the solid-phase impurity source into the source and drain regions. The dopants from the impurity source provide ultra-shallow source and drain extensions. The process can be utilized for P-channel or N-channel metal oxide field semiconductor effect transistors (MOSFETS).
    Type: Grant
    Filed: November 6, 1998
    Date of Patent: March 13, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bin Yu, Ming-Ren Lin
  • Patent number: 6190980
    Abstract: A method of performing tilted implantation for pocket, halo and source/drain extensions in ULSI dense structures. The method overcomes the process limit, due to shadowing effects, in dense structures, of using large angle tilted implant techniques in ULSI circuits. A gate opening in an oxide layer is defined and partially filled by insertion of nitride spacers to define an actual gate window opening. The small angle tilted implant technique has the equivalent doping effect of large angle tilted implants, and circumvents the maximum angle limit (&thgr;MAX) that occurs in the large angle implant method. The small angle tilted implant technique also automatically provides self alignment of the pocket/halo/extension implant to the gate of the device.
    Type: Grant
    Filed: September 10, 1998
    Date of Patent: February 20, 2001
    Assignee: Advanced Micro Devices
    Inventors: Bin Yu, Ming-Ren Lin, Emi Ishida
  • Patent number: 6190981
    Abstract: A method of for fabrication a metal oxide semiconductor transistor is described. A substrate with an isolation structure thereon is provided. A gate oxide layer is formed on the substrate. A polysilicon layer is formed on the gate oxide layer. The polysilicon layer is patterned to form a gate on the gate oxide layer. An offset spacer is formed on the sidewall of the gate. A source/drain extension is formed in the substrate on two sides of the gate by ion implantation. An insulating spacer is formed on the sidewall of the offset spacer. A source/drain region is formed in the substrate by ion implantation using the gate, the offset spacer and the insulating spacer as a mask. Salicide is formed on the gate and on the surface of the source/drain region. After forming the salicide, the offset spacer is removed. After removing the offset spacer, a halo doped region is formed in the substrate below the source/drain extension by ion implantation.
    Type: Grant
    Filed: February 3, 1999
    Date of Patent: February 20, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Tony Lin, Jih-Wen Chou
  • Patent number: 6180473
    Abstract: A method for manufacturing a semiconductor device improves hot carrier characteristic in a device having a thick gate insulating film without being affected by short channel effect, thereby improving reliability of the device. The method for manufacturing a semiconductor device includes the steps of forming gate electrodes having gate insulating films of different thicknesses on a semiconductor substrate, implanting a low-concentration impurity ion into the semiconductor substrate at both sides of the gate electrodes, implanting a nitrogen ion into a portion, where the low-concentration impurity ion is implanted, in the gate insulating film relatively thicker than the other gate insulating film, forming sidewall spacers at both sides of the gate electrodes, and implanting a high-concentration source/drain impurity ion into the semiconductor substrate.
    Type: Grant
    Filed: December 21, 1999
    Date of Patent: January 30, 2001
    Assignee: Hyundai Electroncis Industries Co., Ltd.
    Inventors: Sung Kwon Hong, Jeong Hwan Son, Jae Gyung Ahn, Jeong Mo Hwang
  • Patent number: 6180988
    Abstract: A MOSFET includes a gate oxide formed on a substrate. A thin dielectric layer is formed on the side walls of the gate. A gate is formed on the gate oxide. A first metal silicide layer is formed on top of the gate to increase the conductivity of the gate. Spacers are formed on the substrate and are separated with the gate by a space. Air gaps are formed between the gate and the spacers. First doped ion regions are formed aligned to the air gaps in the substrate, under a portion of the dielectric layer. Second doped ion regions are formed under the spacers in the substrate, next to the first doped ion regions. Third doped ion regions are formed in the substrate next to the second doped ion regions. The third doped ion regions have relatively highly doped ions to the first doped ion regions. The second doped ion regions are formed with immediately highly doped ions between the first and the third doped ion regions.
    Type: Grant
    Filed: December 4, 1997
    Date of Patent: January 30, 2001
    Assignee: Texas Instruments-Acer Incorporated
    Inventor: Shye-Lin Wu
  • Patent number: 6180476
    Abstract: A method of fabricating an integrated circuit with ultra-shallow source/drain junctions which utilizes a dual amorphization technique. The technique creates a shallow amorphous region and a deep amorphous region 300 nm thick. The shallow amorphous region is between 10-15 nm below the top surface of the substrate, and the deep amorphous region is between 150-200 nm below the top surface of the substrate. The shallow amorphous region helps to reduce ion implant channeling effects, and the deep amorphous region helps to getter point defects generated during dopant implants. The process can be utilized for P-channel or N-channel metal field effects semiconductor transistors (MOSFETs).
    Type: Grant
    Filed: November 6, 1998
    Date of Patent: January 30, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6180957
    Abstract: A high-performance thin-film semiconductor device and a simple fabrication method is provided. After a silicon film is deposited at approximately or less 580° C. and at a deposition rate of at least approximately 6 Å/minute, thermal oxidation is performed. This ensures an easy and simple fabrication of a high-performance thin-film semiconductor device. A thin-film semiconductor device capable of low-voltage and high-speed drive is provided. The short-channel type of a TFT circuit with an LDD structure reduces a threshold voltage, increases speed, restrains the power consumption and increases a breakdown voltage. The operational speeds of the thin-film semiconductor device is further increased by optimizing the maximum impurity concentration of an LDD portion, a source portion a drain portion, as well as optimizing the LDD length and the channel length. A display system is provided using these TFTs having drive signals at or below approximately the TTL level.
    Type: Grant
    Filed: April 8, 1997
    Date of Patent: January 30, 2001
    Assignee: Seiko Epson Corporation
    Inventors: Mitsutoshi Miyasaka, Yojiro Matsueda, Satoshi Takenaka
  • Patent number: 6180515
    Abstract: A gate oxide layer, a polysilicon layer are patterned on a substrate. Then, a thermal oxidation is carried out to form the first silicon dioxide layer on the surface of the polysilicon layer. Then, a first silicon nitride layer is patterned on the first silicon dioxide layer, over the top of the polysilicon layer. Then, a second silicon nitride layer is formed on the first silicon dioxide layer and the first silicon nitride layer. Next, a second silicon dioxide layer is formed on the second silicon nitride layer. Then, an etching technique is used to form the side-wall spacers. The side-wall spacers composed of silicon nitride layer and silicon dioxide layer. A dielectric layer is formed on the cap layer, side-wall spacers and silicon dioxide layer. An etch with high selectivity is used to etch the dielectric layer to create a contact hole.
    Type: Grant
    Filed: June 4, 1998
    Date of Patent: January 30, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Andy Chuang
  • Patent number: 6177334
    Abstract: A manufacturing method is capable of preventing corrosion of a metal oxide semiconductor. The manufacturing method sequentially forms a polysilicon layer, a silicide layer and a top cap layer over a substrate, and then etching to form a gate structure. Next, a rapid thermal process is carried out to form an oxide layer over the exposed sidewalls of the silicide layer. Finally, the substrate is cleaned, and then of a source/drain region having a lightly doped drain structure is formed on each side of the gate.
    Type: Grant
    Filed: December 1, 1998
    Date of Patent: January 23, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Tung-Po Chen, Yung-Chang Lin, Jacob Chen
  • Patent number: 6177314
    Abstract: Known salicide processes have the disadvantage that they may cause a short circuit between silicide contacts on source and drain regions, on the one hand, and the silicide contact on the poly gate, on the other hand, which is commonly referred to as bridging. The invention provides a simple and self-aligned method of avoiding this type of short-circuit. After the gate definition, a titled source/drain implantation (9) is carried out, while the resist mask (7) is held in place, the angle and the implantation energy being chosen such that ions impinging on the resist mask are scattered at a small angle with respect to the silicon surface. Apart from the gate, small areas (12b, 13b) are obtained thereby, which are more heavily doped than adjacent areas (12a, 13a) of the source/drain regions. Subsequently, a thermal oxide layer is grown having thicker portions (15) on top of the more heavily doped regions, and thinner portions (14) on top of the more lightly doped regions.
    Type: Grant
    Filed: April 27, 2000
    Date of Patent: January 23, 2001
    Assignee: U.S. Philips Corporation
    Inventors: Hendrik H. Van Der Meer, Klaas G. Druijf, Adrianus C. L. Heessels
  • Patent number: 6172399
    Abstract: The present invention is a method of utilizing microwave energy for annealing of ion implanted wafers. By controlling the time, power density and temperature regime, it is possible to substantially fully anneal the wafer while limiting (and substantially preventing) the diffusion of dopant into the silicon, thereby producing higher performance scaled semiconductor devices. It is also possible, using different conditions, to allow and control the dopant profile (diffusion) into the silicon. Another aspect of the present invention is a method of forming a PN junction in a semiconductor wafer having a profile depth less than about 50 nm and a profile wherein the net doping concentration at said PN junction changes by greater than about one order of magnitude over 6 nm wherein the surface concentration of said dopant is greater than about 1×1020/cm3.
    Type: Grant
    Filed: July 17, 1998
    Date of Patent: January 9, 2001
    Assignee: International Business Machines Corporation
    Inventors: Kam Leung Lee, David Andrew Lewis, Raman Gobichettipalayam Viswanathan
  • Patent number: 6169003
    Abstract: A method of forming a FET with an having a self-aligned pocket implant, comprising the following steps. A substrate is formed having a substrate dielectric layer thereon and a first oxide layer over the substrate dielectric layer. The first oxide layer having an upper surface. A trench is formed through the oxide layer, the substrate dielectric layer, and partially through the substrate. The trench having a bottom and side walls. A second oxide layer is formed along the bottom and said side walls of said trench within the substrate. A dopant is selectively ion implanted into the substrate is achieved to form lightly doped layers adjacent the side walls of the trench within the substrate. A self-aligned channel implant and a pocket implant are ion implanted at predetermined respective depths in the substrate below the trench bottom is achieved. Side-wall spacers on the side walls of the trench are then formed with the side-wall spacers each having a top surface below the upper surface of the first oxide layer.
    Type: Grant
    Filed: August 16, 1999
    Date of Patent: January 2, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chu-Wei Hu, Jine-Wen Weng
  • Patent number: 6169001
    Abstract: In this invention a current block is implanted into the drain of a transistor to provide for ESD protection and allow the shrinking of the transistor. The block increases the current path into the semiconductor bulk and increases heat dissipation capability. The current block is created by implanting P+ into a region in an N+ drain, and through the drain into an N-well laying below the drain. A high resistance of the block forces drain current flowing from the channel to the drain contact into the semiconductor bulk. The block is the full width of the drain spreading out the current from an ESD and forcing current from the channel down into the N-well, under the block, and back up to the drain contact area. The increased path and the spreading of the drain current through the semiconductor bulk enhances heat dissipation, and allows smaller devices and layout area with ESD protection.
    Type: Grant
    Filed: February 12, 1999
    Date of Patent: January 2, 2001
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Geeng-Lih Lin, Ming-Dou Ker