Plural Doping Steps Patents (Class 438/306)
  • Patent number: 6753217
    Abstract: In a method for making transistors with ultrashort channel length, the deposition of respectively source, drain and gate electrodes initially can be performed with prior art technology limiting the electrode dimensions according to applicable design rules, while the dimensions of every second of these electrodes in subsequent process steps can be adjusted as desired. A channel area is formed between a source and a drain electrode without being constrained by any design rule and this allows the formation of transistor channels with extremely short channel lengths L, e.g. well below 10 nm. Correspondingly the width of the gate electrodes can be adjusted to also obtain a large channel width W and hence provide transistors with almost arbitrarily large aspect ratios W/L and thus desirable switching and current characteristics. The method can be applied to make any kind of field-effect transistor, even on the same substrate and may be adjusted for the fabrication of other kinds of transistor structures as well.
    Type: Grant
    Filed: November 14, 2002
    Date of Patent: June 22, 2004
    Assignee: Thin Film Electronics ASA
    Inventor: Hans Gude Gudesen
  • Patent number: 6750106
    Abstract: A method of fabricating transistors on a semiconductor substrate is disclosed according to a first embodiment of the present invention. Gate dielectrics of equal thickness are provided to a first and second transistor on the semiconductor substrate. A polysilicon doping level of the first transistor is varied with a polysilicon doping level of the second transistor.
    Type: Grant
    Filed: May 28, 2002
    Date of Patent: June 15, 2004
    Assignee: Altera Corporation
    Inventors: Peter McElheny, Priya Selvaraj, Bill Liu, Francois Gregoire
  • Patent number: 6750123
    Abstract: A shielding layer 23 is selectively formed on a single crystal silicon layer, an active area 25 is formed in the single crystal silicon layer by using the shielding layer 23 as a mask and an impurity layer 26 is formed at the edges at the sides of the active area 25 by using the shielding layer 23 as a mask and implanting an impurity diagonally from above. As a result, since an impurity layer can be formed by implanting ions of the impurity at the edges at the sides of the active area even when the size of the active area is reduced to the absolute limit, the occurrence of the parasitic transistor phenomenon or the edge transistor phenomenon along the edges at the sides of the active area can be prevented.
    Type: Grant
    Filed: May 3, 2001
    Date of Patent: June 15, 2004
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Yasuaki Kawai
  • Patent number: 6746926
    Abstract: A method for improving the channel doping profile of deep-submicron field effect transistors and MOSFETs. The method involves a highly localized halo implant formed in the channel region but not in the source/drain junction. The halo implant is performed through a gap formed by removal of a temporary spacer. The MOSFET is then further completed.
    Type: Grant
    Filed: April 27, 2001
    Date of Patent: June 8, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Publication number: 20040106236
    Abstract: A double diffused region (65), (75), (85) is formed in an epitaxial layer (20). The double diffused region is formed by first implanting light implant specie such as boron through an opening in a photoresist layer prior to a hard bake process. Subsequent to a hard bake process heavy implant specie such as arsenic can be implanted into the epitaxial layer. During subsequent processing such as LOCOS formation the double diffused region is formed. A dielectric layer (120) is formed on the epitaxial layer (20) and gate structures (130), (135) are formed over the dielectric layer (120).
    Type: Application
    Filed: November 12, 2003
    Publication date: June 3, 2004
    Inventors: Binghua Hu, Howard S. Lee, Henry L. Edwards, John Lin, Vladimir N. Bolkhovsky
  • Patent number: 6743690
    Abstract: A method of forming a metal-oxide semiconductor (MOS) transistor. A semiconductor substrate is provided. A polysilicon layer is then deposited on the semiconductor substrate. The polysilicon layer is selectively etched to form a gate electrode. A silicon oxide layer is grown on the top and the sidewall. Ions (or dopants) are doped into the semiconductor substrate to form a lightly doped region. Then, a nitride spacer is formed on the sidewall of the silicon oxide layer. Finally, ions are doped into the semiconductor substrate to form a heavily doped region to serve as a source/drain of the MOS transistor.
    Type: Grant
    Filed: September 10, 2002
    Date of Patent: June 1, 2004
    Assignee: Silicon Integrated Systems Corp.
    Inventors: Tzu-Kun Ku, Wen-Chu Huang
  • Publication number: 20040101999
    Abstract: A method of manufacturing a semiconductor device is provided which can suppress leakage current increases by making into silicide. Impurity that suppresses silicide formation reaction (suppression impurity), such as germanium, is introduced into source/drain regions (16, 36) from their upper surfaces. In the source/drain regions (16, 36), a region shallower than a region where the suppression impurity is distributed (50) is made into silicide, so that a silicide film (51) is formed in the source/drain regions (16, 36). Thus, by making the region shallower than the region (50) into silicide, it is possible to suppress that silicide formation reaction extends to the underside of the region to be made into silicide. This enables to reduce the junction leakage between the source/drain regions (16, 36) and a well region.
    Type: Application
    Filed: May 21, 2003
    Publication date: May 27, 2004
    Applicant: Renesas Technology Corp.
    Inventors: Hidekazu Oda, Hirokazu Sayama, Kazunobu Ohta, Kouhei Sugihara
  • Patent number: 6734072
    Abstract: A method of forming a conductive gate structure on an underlying gate insulator layer, without the use of a plasma dry etch conductive gate definition procedure, has been developed. After formation of source/drain extension (SDE) and heavily doped source/drain regions, an opening is formed in a planarized dielectric layer exposing the top surface of a semiconductor alloy layer, or exposing the top surface of a semiconductor substrate, while the planarized dielectric layer and adjacent insulator spacers overlay the source/drain regions. A multiple spike, rapid thermal oxidation (RTO) procedure is employed to grow a gate insulator layer on the region of semiconductor alloy, or semiconductor, exposed in the opening, with the low temperature RTO procedure, and the planarized dielectric layer overlying the source/drain regions, suppressing out diffusion of SDE dopants.
    Type: Grant
    Filed: March 5, 2003
    Date of Patent: May 11, 2004
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Yung Fu Chong, Alex See
  • Patent number: 6730552
    Abstract: An inverse-T transistor is formed by a method that decouples the halo implant, the deep S/D implant and the extension implant, so that the threshold voltage can be set by adjusting the halo implant without being affected by changes to the extension implant that are intended to alter the series resistance of the device. Formation of the inverse-T structure can be made by a damascene method in which a temporary layer deposited over the layer that will form the cross bar of the T has an aperture formed in it to hold the gate electrode, the aperture being lined with vertical sidewalls that provide space for the ledges that form the T. Another method of gate electrode formation starts with a layer of poly, forms a block for the gate electrode, covers the horizontal surfaces outside the gate with an etch-resistant material and etches horizontally to remove material above the cross bars on the T, the cross bars being protected by the etch resistant material.
    Type: Grant
    Filed: June 26, 2003
    Date of Patent: May 4, 2004
    Assignee: International Business Machines Corporation
    Inventors: Wagdi W. Abadeer, Jeffrey S. Brown, Kiran V. Chatty, Robert J. Gauthier, Jr., Carl J. Radens, William R. Tonti
  • Patent number: 6730583
    Abstract: A source/drain formation method using multi-boron implantation instead of single-boron implantation is disclosed. The multi-boron implantation method includes a first step, in which boron-fluorine compounds are implanted for forming an amorphous layer in the source/drain region, and a second step, in which boron ions are implanted.
    Type: Grant
    Filed: October 26, 2001
    Date of Patent: May 4, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jae-Geun Oh, Byung-Seop Hong
  • Publication number: 20040079975
    Abstract: A high voltage semiconductor device includes a drain region disposed within a semiconductor substrate. The semiconductor device further includes a field oxide layer disposed outwardly from the drain region of the semiconductor substrate. The semiconductor device also includes a floating ring structure disposed inwardly from at least a portion of the field oxide layer. In one particular embodiment, a device parameter degradation associated with the semiconductor device comprises one (1) percent or less after approximately five hundred (500) seconds of accelerated lifetime operation.
    Type: Application
    Filed: October 22, 2003
    Publication date: April 29, 2004
    Inventor: Sameer P. Pendharkar
  • Publication number: 20040082134
    Abstract: A method for forming a lightly doped drain (LDD) field effect transistor uses very thin first sidewall spacers over the gate sidewalls, in which annealing/oxidation of the sidewall spacers results in (a) the rounding of corner portions of the gate structure sidewalls adjacent the gate oxide, and (b) a very low thermal consumption comprising a small portion of the total thermal budget. Secondary sidewall spacers of greater width are then formed to act as offsets in the introduction of N-type dopants into the substrate to form source and drain contact regions. The method may be varied to accommodate various design configurations and size scaling.
    Type: Application
    Filed: October 21, 2003
    Publication date: April 29, 2004
    Inventors: Salman Akram, Mohamed A. Ditali
  • Patent number: 6727131
    Abstract: A method of forming a semiconductor device is provided that comprises forming a gate conductor proximate to and insulated from an outer surface of a semiconductor substrate. The gate conductor defines a channel region disposed inwardly from the gate conductor. Source and drain regions are formed in the semiconductor substrate, each disposed adjacent one edge of the channel region. The semiconductor substrate and the source and drain regions have an associated bottom wall junction capacitance. A transient enhanced diffusion anneal is used to affect ion concentration profiles associated with the source and drain regions, resulting in an increased balance in the ion concentration profiles of the source and drain regions and an ion concentration associated with the semiconductor substrate, which results in reduction of the bottom wall junction capacitance.
    Type: Grant
    Filed: October 24, 2002
    Date of Patent: April 27, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Zhiqiang Wu, Kaiping Liu
  • Publication number: 20040077149
    Abstract: A method and system can compensate for anneal non-uniformities by implanting dopant in a pattern to provide higher dopant concentrations where the anneal non-uniformities result in lower active dopant concentrations. A pattern for the anneal non-uniformities may be determined by annealing a wafer having a uniform dopant distribution and measuring properties of the wafer after annealing, e.g., by obtaining a sheet resistance map of the wafer. In one embodiment, the non-uniformities may be measured by measuring temperature variations during annealing.
    Type: Application
    Filed: October 16, 2002
    Publication date: April 22, 2004
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Anthony Renau
  • Patent number: 6720223
    Abstract: A method of manufacturing a power switching transistor for a fluid ejection device includes forming a diffused drain region and a diffused source region separated by a channel region. The diffused drain region and the diffused source region are doped with a first dopant. A first portion of the diffused drain region is doped with a second dopant, such that the first portion of the diffused drain region has a greater impurity concentration than at least a second portion of the diffused drain region and has a greater impurity concentration than the diffused source region.
    Type: Grant
    Filed: April 30, 2002
    Date of Patent: April 13, 2004
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Hironori Uchida
  • Publication number: 20040063262
    Abstract: A method of forming the halo structures of a field effect transistor is disclosed. The halo structures are formed by implanting ions of a dopant material into the substrate on which the transistor is to be formed, wherein the tilt angle of the ion beam with respect to the surface of the substrate is varied according to a predefined time schedule comprising a plurality of implanting periods.
    Type: Application
    Filed: March 27, 2003
    Publication date: April 1, 2004
    Inventors: Thomas Feudel, Manfred Horstmann, Rolf Stephan
  • Patent number: 6713351
    Abstract: A double diffused field effect transistor and a method of forming the same is provided. The method begins by providing a substrate of a first conductivity type. Next, at least one dopant species, also of the first conductivity type, is introduced into a surface of the substrate so that the substrate has a nonuniform doping profile. An epitaxial layer of the first conductivity type is formed over the substrate and one or more body regions of a second conductivity type are formed within the epitaxial layer. A plurality of source regions of the first conductivity type are then formed within the body regions. Finally, a gate region is formed, which is adjacent to the body regions.
    Type: Grant
    Filed: March 28, 2001
    Date of Patent: March 30, 2004
    Assignee: General Semiconductor, Inc.
    Inventor: Richard A. Blanchard
  • Patent number: 6713360
    Abstract: The present invention provides a method for forming a transistor junction in a semiconductor wafer by implanting a dopant material (116) into the semiconductor wafer, implanting a halo material (110) into the semiconductor wafer (102), selecting a fluorine dose and energy to tailor one or more characteristics of the transistor, implanting fluorine into the semiconductor wafer at the selected dose and energy, activating the dopant material using a thermal process and annealing the semiconductor wafer to remove residual fluorine. The one or more characteristics of the transistor may include halo segregation, halo diffusion, the sharpness of the halo profile, dopant activation, dopant profile sharpness, drive current, bottom wall capacitance or near edge capacitance.
    Type: Grant
    Filed: August 12, 2002
    Date of Patent: March 30, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Amitabh Jain, Kaiping Liu, Zhiqiang Wu
  • Publication number: 20040058556
    Abstract: Disclosed is a method of manufacturing a MOS transistor having an enhanced reliability. A passivation layer is formed on a gate electrode and on a substrate to prevent a generation of a recess on the substrate. After a mask pattern is formed on the substrate for masking a portion of the substrate, impurities are implanted into an exposed portion of the substrate to form source and drain regions. The substrate is rinsed so that the passivation layer or a recess-prevention layer is substantially entirely or partially removed while the mask pattern is substantially completely removed, thereby forming the MOS transistor. Therefore, the generation of the recess in the source and drain region of the substrate can be prevented due to the passivation layer during rinsing of the substrate.
    Type: Application
    Filed: April 30, 2003
    Publication date: March 25, 2004
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Young-Sub You, Hyeon-Deok Lee, Tae-Soo Park, Heon-Heoung Leam, Bong-Hyun Kim, Yong-Woo Hyung
  • Patent number: 6709940
    Abstract: A semiconductor device includes a semiconductor substrate, a barrier film in a field region of the semiconductor substrate, first and second conductivity-type well regions in the semiconductor substrate and divided by the barrier film in a surface of the semiconductor substrate, a gate insulating film on an entire surface of the semiconductor substrate, a gate electrode on a region of the gate insulating film, a lightly-doped first conductivity-type impurity region formed in the second conductivity-type well region at a first side of the gate electrode, a lightly-doped second conductivity-type impurity region formed in the first conductivity-type well region at a second side of the gate electrode, a conductive pattern connected with the lightly-doped first and second conductivity-type impurity regions and having a constant distance from the gate electrode, an insulating film formed on the semiconductor substrate exposing upper portions of the gate electrode and the conductive pattern, and heavily-doped first
    Type: Grant
    Filed: February 12, 2003
    Date of Patent: March 23, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Il Ju
  • Publication number: 20040053471
    Abstract: A withstand voltage against electrostatic discharge of a high voltage MOS transistor is improved. An N−-type drain layer is not formed under an N+-type drain layer, while a P+-type buried layer is formed in a region under the N+-type drain layer. A PN junction of high impurity concentration is formed between the N+-type drain layer and the P+-type buried layer. In other words, a region having low junction breakdown voltage is formed locally. The surge current flows through the PN junction into the silicon substrate before the N−-type drain layer below a gate electrode is thermally damaged. Hence, the ESD withstand voltage is improved.
    Type: Application
    Filed: June 25, 2003
    Publication date: March 18, 2004
    Applicant: Sanyo Electric Co., Ltd.
    Inventors: Shuichi Kikuchi, Masafumi Uehara, Eiji Nishibe, Katsuyoshi Anzai
  • Publication number: 20040048438
    Abstract: A method of forming a metal-oxide semiconductor (MOS) transistor. A semiconductor substrate is provided. A polysilicon layer is then deposited on the semiconductor substrate. The polysilicon layer is selectively etched to form a gate electrode. A silicon oxide layer is grown on the top and the sidewall. Ions (or dopants) are doped into the semiconductor substrate to form a lightly doped region. Then, a nitride spacer is formed on the sidewall of the silicon oxide layer. Finally, ions are doped into the semiconductor substrate to form a heavily doped region to serve as a source/drain of the MOS transistor.
    Type: Application
    Filed: September 10, 2002
    Publication date: March 11, 2004
    Inventors: Tzu-Kun Ku, Wen-Chu Huang
  • Publication number: 20040046191
    Abstract: The present invention relates to a semiconductor device including a high withstand voltage MOS transistor and a manufacturing method thereof. The semiconductor device according to the present invention includes a MOS transistor in which a second-conductivity type source region is formed on a first-conductivity type semiconductor region, an offset drain region is interconnected to a second-conductivity type drain region and has a concentration lower than an impurity concentration of a drain region, the offset drain region is composed of a portion that does not overlap a first-conductivity type semiconductor region and a portion that overlaps part of the surface of the first-conductivity type semiconductor region and a gate electrode is formed on the surface extending from a channel region between the source region and the offset drain region to part of the offset drain region through a gate insulating film.
    Type: Application
    Filed: September 22, 2003
    Publication date: March 11, 2004
    Inventor: Hideki Mori
  • Patent number: 6703282
    Abstract: A method of forming an NMOS device with reduced device degradation, generated during a constant current stress, has been developed. The reduced device degradation is attributed to the use of a high temperature oxide (HTO), layer, used as an underlying component of composite insulator spacers, formed on the sides of the NMOS gate structures. After definition of an insulator capped polycide gate structure a thin, (140 to 160 Angstrom), HTO layer is deposited at a temperature between about 700 to 800° C., followed by the deposition of a silicon nitride layer. Definition of the composite insulator layer, comprised with the underlying, HTO, results in NMOS devices with reduced drain current and reduced transconductance values, when compared to counterparts fabricated with composite insulator spacers formed without the thin, HTO layer featured in this invention.
    Type: Grant
    Filed: July 2, 2002
    Date of Patent: March 9, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Fu Ji Yang, Chun Lin Tsai, Chien Chih Chou, Ting Jia Hu, Sheng Yuan Lin
  • Patent number: 6703657
    Abstract: A DRAM cell is provided, along with a method for fabricating such a DRAM cell. A protection layer pattern is formed to cover a common drain region of first and second access transistors. Storage node holes are then formed to expose each source region of the first and second access transistors, by using an etching insulator that has an etching selectivity with respect to the protection layer. Accordingly, even if there is a misalignment of the storage node holes to the source regions, the common drain region is not exposed by the misaligned storage node holes because of the presence of the protection layer pattern.
    Type: Grant
    Filed: July 8, 2002
    Date of Patent: March 9, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yoo-Sang Hwang, Byung-Jun Park
  • Publication number: 20040033649
    Abstract: A semiconductor device includes a gate electrode formed on a semiconductor region via a gate insulative film and an extension high concentration diffusion layer of a first conductivity type formed in the semiconductor region beside the gate electrode. A dislocation loop defect layer is formed in a region of the semiconductor region beside the gate electrode and at a position shallower than an implantation projected range of the extension high concentration diffusion layer.
    Type: Application
    Filed: August 19, 2003
    Publication date: February 19, 2004
    Applicant: Matsushita Electric Industrial Co., Ltd.
    Inventor: Taiji Noda
  • Patent number: 6693015
    Abstract: A capacitor having improved size for enhanced capacitance and a method of forming the same are disclosed. In one embodiment, the capacitor is a stacked container capacitor used in a dynamic random access memory circuit. The capacitor provides a capacitor that has high storage capacitance which provides an increased efficiency for a cell without an increase in the size of the cell.
    Type: Grant
    Filed: August 14, 2001
    Date of Patent: February 17, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Robert K. Carstensen
  • Patent number: 6682994
    Abstract: Methods are disclosed for semiconductor device fabrication in which MOS transistor gates are to be formed. Polysilicon gate structures and sidewall spacers are formed, with upper portions of the gate sidewalls exposed. Angled implantation processing is employed to impart dopants to the top and exposed sidewall portions of the gate structure to mitigate poly depletion.
    Type: Grant
    Filed: April 16, 2002
    Date of Patent: January 27, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: F. Scott Johnson, Tad Grider, Benjamin P. Mckee
  • Patent number: 6682993
    Abstract: The invention consists of an ESD protection discharging NMOS with a special drain dopant region that enables a lower voltage trigger point for Vcc to Vss ESD power protection. To enable this ESD protection, the NMOS source connected to a first voltage bus line, or Vcc, and the drain is connected to a second voltage bus line, or ground. The NMOS device gate is connected to ground through a difflused resistor assuring the device remains in an off state during normal operation. The unique invention special dopant region is located under and around the NMOS drain which lowers the drain to substrate breakdown voltage enabling the ESD protection current discharge to start at a lower voltage than otherwise. This feature reduces voltage stress on the gates of active devices being protected, and enables higher ESD current discharges at the same power level as for devices without the special drain dopant region.
    Type: Grant
    Filed: May 31, 2002
    Date of Patent: January 27, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Yi-Hsun Wu, Jian-Hsing Lee
  • Patent number: 6682975
    Abstract: There is provided a method of fabricating a semiconductor memory device having a self-aligned contact, including the steps of forming a plurality of gate electrodes by interposing a gate insulating layer on an active region of a semiconductor substrate in a predetermined direction at constant intervals, forming a first insulating layer on the resultant structure having the gate electrodes and then forming one or more of each of first and second openings which partially open an active region of the semiconductor substrate, forming first and second pad layers by filling the first and second openings with a conductive material, forming a first interlayer dielectric film on the first insulating layer having the first and second pad layers and forming a third opening which opens the surface of the first pad layer, forming a plurality of bit lines in a direction orthogonal to the gate electrodes on the first interlayer dielectric film while filling the third opening, depositing an insulating layer on the resultant
    Type: Grant
    Filed: November 13, 2001
    Date of Patent: January 27, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Myeong-cheol Kim, Byeong-yun Nam, Sang-sup Jeong, Tae-hyuk Ahn
  • Patent number: 6682976
    Abstract: A method for manufacturing a semiconductor memory device includes forming an isolation layer adjacent a diffusion region over a substrate that also has a stacked gate region. A gate oxide layer is formed over the gate region; a first conductive layer over the isolation and gate oxide layers and the diffusion region; a nitride layer over the first conductive layer, the nitride layer having an opening at the isolation layer; and an oxide region in the first conductive layer using the nitride layer as a mask. After removing the nitride layer and the silicon oxide region, an interelectrode dielectric layer is formed over the first conductive layer, and a second conductive layer is formed over the interelectrode dielectric layer. Then, the interelectrode dielectric layer and the first conductive layer over the diffusion portion are removed and a diffusion layer is formed in the substrate of the diffusion portion.
    Type: Grant
    Filed: September 5, 2001
    Date of Patent: January 27, 2004
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Yasushi Satou, Hiroshi Asaka
  • Publication number: 20040014294
    Abstract: The present invention relates to a method of forming a high voltage junction in a semiconductor device. The method includes forming a double diffused drain junction, and making amorphous the double diffused drain junction to a first depth by implanting an impurity having a high atomic weight than an impurity injected into the double diffused drain junction, implanting an impurity so that the concentration of the concentration of an impurity to a second depth lower than the first depth and then activating the impurities. Thus, the present invention can reduce the sheet resistance by prohibiting diffusion of an impurity, prohibit a channeling phenomenon by lowering the depth of the junction, and remove crystal defects by sufficiently activating an impurity and since a subsequent annealing process for activation can be performed at a high temperature, and thus improve reliability of a process and an electrical characteristic of the device.
    Type: Application
    Filed: December 6, 2002
    Publication date: January 22, 2004
    Applicant: Hynix Semiconductor Inc.
    Inventors: Jeong Hwan Park, Noh Yeal Kwak
  • Patent number: 6680243
    Abstract: A method for forming shallow junctions in a substrate. The substrate is masked with a first mask to selectively cover first portions of the substrate and selectively expose second portions of the substrate. A first dopant is implanted substantially within a first depth zone through the second portions of the substrate. The first depth zone extends from a first depth to a second depth, and the first depth is shallower than the second depth. The substrate is annealed for a first time to form a noncontiguous buried insulating layer substantially within the first depth zone in the second portions of the substrate. The substrate is masked with a second mask to selectively cover third portions of the substrate and selectively expose fourth portions of the substrate. The fourth portions of the substrate at least partially overlap the second portions of the substrate. A second dopant is implanted substantially within a second depth zone through the fourth portions of the substrate.
    Type: Grant
    Filed: June 29, 2001
    Date of Patent: January 20, 2004
    Assignee: LSI Logic Corporation
    Inventors: Arvind Kamath, Rajiv L. Patel
  • Patent number: 6677206
    Abstract: A non-volatile memory device including a plurality of memory cells, each memory cell formed as MOS transistor with a source region, a drain region and a gate having sides formed therewith; and one or more dielectric spacers disposed on the sides of the gate. At least one memory cell is defined in an ON state and at least one memory cell is defined in an OFF state. The memory cells in the ON state comprise drain regions and source regions of the lightly diffused drain (LDD) type, characterized in that the at least one drain region and the at least one source region of the memory cells in the OFF state are formed by one or more high dopant regions. The memory cells in the OFF state consists of layers of silicide on top of one or more active regions defined as the source region, the drain region, and the gate.
    Type: Grant
    Filed: December 19, 2000
    Date of Patent: January 13, 2004
    Assignee: STMicroelectronics S.r.l.
    Inventors: Matteo Patelmo, Federico Pio
  • Publication number: 20040004250
    Abstract: An impurity-diffused layer having an extension structure is formed first by implanting Sb ion as an impurity for forming a pocket region; then by implanting N as a diffusion-suppressive substance so as to produce two peaks in the vicinity of the interface with a gate electrode and at an amorphous/crystal interface which serves as an defect interface generated by the impurity in the pocket region; and by carrying out ion implantations for forming an extension region and deep source and drain regions.
    Type: Application
    Filed: June 20, 2003
    Publication date: January 8, 2004
    Inventors: Youichi Momiyama, Kenichi Okabe, Takashi Saiki, Hidenobu Fukutome
  • Patent number: 6670254
    Abstract: A method of manufacturing semiconductor devices. A gate structure is formed over a substrate. A dopant implantation is carried out to form a lightly doped region in the substrate on each side of the gate structure. An insulation layer is formed over the substrate. A portion of the insulation is later removed so that a portion of the insulation layer is retained over the substrate on each side of the gate structure. A spacer is formed on each sidewall of the gate structure. Another ion implantation is carried out such that the dopants penetrate through the insulation layer on the substrate on each side of the gate structure to form a heavily doped region in the substrate.
    Type: Grant
    Filed: October 1, 2002
    Date of Patent: December 30, 2003
    Assignee: Powerchip Semiconductor Corp.
    Inventor: Kun-Jung Wu
  • Patent number: 6667512
    Abstract: An asymmetric retrograde HALO Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET) includes a semiconductor substrate. A gate is formed over the substrate, the gate defining a channel thereunder in the substrate having a source side and a drain side. A retrograde HALO doped area is formed in the source side of the channel using tilted ion implantation. A source and drain are formed in the substrate adjacent to the source and drain sides of the channel. The asymmetrical doping arrangement provides the specified level of off-state leakage current without decreasing saturation drive current and transconductance.
    Type: Grant
    Filed: March 31, 2000
    Date of Patent: December 23, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Carl R. Huster, Concetta Riccobene
  • Publication number: 20030232475
    Abstract: In a method of fabricating an LDMOS semiconductor device, a combined layer including a gate oxide film and a first nitride film is formed on a substrate within a first region. A mask body is formed on the combined layer within a second region that is inside of the first region. Then, first impurities are introduced into the substrate outside of the second region using the mask body as a mask. Next, second impurities are introduced into the substrate outside of the first region using the mask body and the combined layer as a mask. Finally, the introduced first and second impurities are diffused by a heat treatment so as to form a source/drain region and a well region.
    Type: Application
    Filed: February 20, 2003
    Publication date: December 18, 2003
    Inventor: Katsuhito Sasaki
  • Patent number: 6661057
    Abstract: A transistor is formed in an active area having a segmented gate structure. The segmented gate structure advantageously provides for dynamic control of a channel region formed within the transistor. Lightly doped source and drain (LDD) regions are formed aligned to a gate electrode. After forming an insulating layer adjacent the exposed surfaces of the gate electrode, conductive spacers are formed disposed overlying the LDD regions. These spacers are electrically isolated from the gate electrode by the insulating layer. Heavily doped source and drain (S/D) regions are formed which are aligned to the spacers and make electrical contact, for example through a salicide process, supplied to the conductive spacer, the gate electrode, and the S/D regions. The described structure advantageously supplies dynamic control of the channel region through dynamic, independent control of the LDD portions of the S/D regions.
    Type: Grant
    Filed: April 7, 1998
    Date of Patent: December 9, 2003
    Inventors: Robert Dawson, Mark I. Gardner, Frederick N. Hause, H. Jim Fulford, Jr., Mark W. Michael, Bradley T. Moore, Derick J. Wristers
  • Patent number: 6660603
    Abstract: An integrated circuit drain extension transistor. A transistor gate (72) is formed over a CMOS n-well region (10). A transistor source extension region (50), and drain extension region (52) are formed in the CMOS well region (10). A transistor region (90) is formed in the source extension region 50 and a transistor drain region 92 is formed between two drain alignment structures (74), (76) in the drain extension region (52).
    Type: Grant
    Filed: September 14, 2001
    Date of Patent: December 9, 2003
    Assignee: Texas Instruments Incorporated
    Inventor: Jozef Czeslaw Mitros
  • Patent number: 6642119
    Abstract: The present invention relates to a method of forming a transistor and a transistor structure. The invention comprises forming the transistor using a double silicide process which reduces resistance and reduces the floating-body-effect when employed in conjunction with SOI type device architecture.
    Type: Grant
    Filed: August 8, 2002
    Date of Patent: November 4, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mario M. Pelella, Shankar Sinha, Simon S. Chan
  • Patent number: 6638827
    Abstract: To enable the reduction of ON-state resistance in a state in which the withstand voltage is secured, a semiconductor device according to the invention is provided with a gate electrode formed so that the gate electrode ranges from a gate oxide film formed on an N-type well region formed in a P-type semiconductor substrate to a selective oxide film, a P-type source region formed so that the source region is adjacent to the gate electrode, a P-type drain region formed in a position apart from the gate electrode and a P-type drift region (an LP layer) formed so that the drift region surrounds the drain region, and is characterized in that a P-type impurities layer (an FP layer) is formed so that the impurities layer is adjacent to the drain region.
    Type: Grant
    Filed: February 20, 2001
    Date of Patent: October 28, 2003
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Shuichi Kikuchi, Eiji Nishibe
  • Patent number: 6635540
    Abstract: A method for forming a lightly doped drain (LDD) field effect transistor uses very thin first sidewall spacers over the gate sidewalls, in which annealing/oxidation of the sidewall spacers results in (a) the rounding of corner portions of the gate structure sidewalls adjacent the gate oxide, and (b) a very low thermal consumption comprising a small portion of the total thermal budget. Secondary sidewall spacers of greater width are then formed to act as offsets in the introduction of N-type dopants into the substrate to form source and drain contact regions. The method may be varied to accommodate various design configurations and size scaling.
    Type: Grant
    Filed: May 2, 2002
    Date of Patent: October 21, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Salman Akram, Mohamed A. Ditali
  • Patent number: 6635538
    Abstract: When sidewalls (10) are formed by anisotropic etching, an insulating film (9) serves as a protective film for a major surface of a semiconductor substrate (100) and therefore prevents the major surface from suffering etching damage. That relieves an electric field concentration in a pn junction, to effectively take advantage of an LDD structure. Since a portion of the insulating film (9) extending off the sidewalls (10) is removed, there is no need for etching of the insulating film (9) when the contact holes (12) are formed and only an insulating film (11) is etched. That prevents a short circuit between main electrodes (13) and a gate electrode (7) and makes it possible to determine the spacing between the contact holes (12) narrower than the width of the gate electrode (7).
    Type: Grant
    Filed: May 30, 2001
    Date of Patent: October 21, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Toshinori Morihara, Yoshinori Tanaka
  • Patent number: 6635558
    Abstract: In one aspect, the invention provides a method of forming a contact opening to a conductive line. In one preferred implementation, a contact opening is formed to a conductive line which overlies a substrate isolation area with an etch which also outwardly exposes substrate active area to accommodate source/drain doping. In another preferred implementation, desired PMOS regions over a substrate into which p-type impurity is to be provided are exposed while a contact opening is contemporaneously formed to at least one conductive line extending over substrate isolation oxide. In another preferred implementation, a contact opening to a conductive line over a substrate and an opening to a laterally spaced substrate active area are formed in a common masking step. In another preferred implementation, desired PMOS active areas over a substrate are exposed and p-type impurity to a first concentration is provided into desired exposed areas.
    Type: Grant
    Filed: April 23, 2002
    Date of Patent: October 21, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Werner Juengling
  • Patent number: 6624076
    Abstract: First, a pattern of electrodes or interconnects is formed on a semiconductor substrate. Next, a first insulating film, which will be dry-etched at a relatively high rate and exhibit relatively high planarity, is deposited over the substrate as well as over the pattern. Subsequently, a second insulating film, which will be dry-etched at a relatively low rate and exhibit relatively low planarity, is deposited over the first insulating film. Thereafter, a multilayer structure, including a ferroelectric film, is formed on the second insulating film and then dry-etched and patterned, thereby forming an electronic device out of the multilayer structure.
    Type: Grant
    Filed: September 29, 2000
    Date of Patent: September 23, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Toyoji Ito
  • Patent number: 6624037
    Abstract: A SOI substrate is preamorphized by ion implanting Xe prior to forming source/drain extensions and source/drain regions, thereby virtually eliminating or significantly reducing floating body effects. Other aspects comprise ion implanting a Xe2+ into a bulk silicon or SOI substrate to effect preeamorphization prior to forming source/drain extensions and regions having shallow junctions with reduced vertical and lateral straggle.
    Type: Grant
    Filed: August 1, 2001
    Date of Patent: September 23, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Matthew Stephen Buynoski, Che-Hoo Ng
  • Publication number: 20030176041
    Abstract: A method for fabricating a semiconductor device is disclosed. In a high speed device structure consisting of a silicide, in order to fabricate a device having at least two gate oxide structures in the identical chip, an LDD region of a core device region is formed, and an ion implant process for forming the LDD region of an input/output device region having a thick gate oxide and a process for forming a source/drain region at the rim of a field oxide of the core device region having a thin gate oxide are performed at the same time, thereby increasing a depth of a junction region. Thus, the junction leakage current is decreased in the junction region of the peripheral circuit region, and the process is simplified. As a result, a process yield and reliability of the device are improved.
    Type: Application
    Filed: April 9, 2003
    Publication date: September 18, 2003
    Applicant: Hynix Semiconductor Inc.
    Inventors: Hi Deok Lee, Seong Hyung Park
  • Patent number: 6620697
    Abstract: A silicon carbide lateral metal-oxide-semiconductor field-effect transistor (SiC LMOSFET) having a self-aligned drift region and method for forming the same is provided. Specifically, the SiC LMOSFET includes a source region, a drift region and a drain region. The source and drain regions are implanted using non self-aligned technology (i.e., prior to formation of the gate electrode and the gate oxide layer), while the drift region is implanted using self-aligned technology (i.e., after formation of the gate electrode and the gate oxide layer). By self-aligning the drift region to the gate electrode, the overlap between the two is minimized, which reduces the capacitance of the device. When capacitance is reduced, performance is improved.
    Type: Grant
    Filed: September 24, 2001
    Date of Patent: September 16, 2003
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Dev Alok, Rik Jos
  • Publication number: 20030170957
    Abstract: A method for creating a self-aligned channel implant with elevated source/drain areas. Forming a thin dielectric layer on top of a silicon substrate, a thick layer of oxide is deposited over this dielectric. An opening is exposed and etched through the layer of oxide, through the dielectric and into the underlying silicon substrate creating a shallow trench in the substrate. By performing the channel implant LDD implant, pocket implant, forming the gate spacers and electrode, removing the thick layer of oxide and forming the S/D regions a gate electrode has been created with elevated S/D regions. By forming the gate spacers, performing channel implant, forming the gate electrode, removing the thick layer of oxide and performing S/D implant a gate electrode has been created with elevated S/D regions and disposable spacers.
    Type: Application
    Filed: March 11, 2003
    Publication date: September 11, 2003
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY
    Inventors: Chu-Wei Hu, Jiue-Wen Weng, Chung-Te Lin, So Wein Kuo