Plural Doping Steps Patents (Class 438/306)
  • Patent number: 6503789
    Abstract: A semiconductor contact structure for a merged dynamic random access memory and a logic circuit (MDL) and a method of manufacturing the contact structure to: (i) a cell contact pad; (ii) at least one active region; and (iii) at least one gate electrode simulaneously, whereby an electric short between the gate electrodes and the cell contact pad is avoided, even in the event a lithographic misalignment occurs and whereby it is possible to obtain an overlap margin in the cell region, even with an improved metal contact to the gate electrode in the peripheral circuit region of the semiconductor device.
    Type: Grant
    Filed: July 5, 2000
    Date of Patent: January 7, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong Ki Kim, Duck Hyung Lee
  • Publication number: 20020197839
    Abstract: A method for improving the gate activation of metal oxide semiconductor field effect transistor (MOSFET) structures are provided.
    Type: Application
    Filed: June 22, 2001
    Publication date: December 26, 2002
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Omer H. Dokumaci, Bruce B. Doris, Peter Smeys, Isabel Y. Yang
  • Patent number: 6492234
    Abstract: Process for forming salicide on active areas of MOS transistors, each MOS transistor comprising a gate and respective source and drain regions, the source and drain regions each comprising a first lightly doped sub-region adjacent the gate and a second highly doped sub-region spaced apart from the gate. The salicide is formed selectively at least over the second highly doped sub-regions of the source and drain regions of the MOS transistors, and not over the first lightly doped sub-region.
    Type: Grant
    Filed: May 12, 1998
    Date of Patent: December 10, 2002
    Assignee: STMicroelectronics S.r.l.
    Inventors: Maurizio Moroni, Cesare Clementi
  • Publication number: 20020182815
    Abstract: A method for creating a self-aligned channel implant with elevated source/drain areas. Forming a thin dielectric layer on top of a silicon substrate, a thick layer of oxide is deposited over this dielectric. An opening is exposed and etched through the layer of oxide, through the dielectric and into the underlying silicon substrate creating a shallow trench in the substrate. By performing the channel implant LDD implant, pocket implant, forming the gate spacers and electrode, removing the thick layer of oxide and forming the S/D regions a gate electrode has been created with elevated S/D regions. By forming the gate spacers, performing channel implant, forming the gate electrode, removing the thick layer of oxide and performing S/D implant a gate electrode has been created with elevated S/D regions and disposable spacers.
    Type: Application
    Filed: August 10, 2001
    Publication date: December 5, 2002
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY
    Inventors: Chu-Wei Hu, Jiue-Wen Weng, Chung-Te Lin, So-Wein Kuo
  • Publication number: 20020182813
    Abstract: A process for grading the junctions of a lightly doped drain (LDD) N-channel MOSFET by performing a low dosage phosphorous implant after low and high dosage arsenic implants have been performed during the creation of the −LDD regions and N+ source and drain electrodes. The phosphorous implant is driven to diffuse across both the electrode/LDD junctions and the LDD/channel junctions.
    Type: Application
    Filed: July 19, 2002
    Publication date: December 5, 2002
    Inventors: Aftab Ahmad, Charles Dennison
  • Publication number: 20020182814
    Abstract: A method for creating a self-aligned channel implant with elevated source/drain areas. Forming a thin dielectric layer on top of a silicon substrate, a thick layer of oxide is deposited over this dielectric. An opening is exposed and etched through the layer of oxide, through the dielectric and into the underlying silicon substrate creating a shallow trench in the substrate. By performing the channel implant LDD implant, pocket implant, forming the gate spacers and electrode, removing the thick layer of oxide and forming the SID regions a gate electrode has been created with elevated SID regions. By forming the gate spacers, performing channel implant, forming the gate electrode, removing the thick layer of oxide and performing SID implant a gate electrode has been created with elevated SID regions and disposable spacers.
    Type: Application
    Filed: August 10, 2001
    Publication date: December 5, 2002
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY
    Inventors: Chu-Wei Hu, Jiue-Wen Weng, Chung-Te Lin, So-Wein Kuo
  • Patent number: 6489210
    Abstract: A method for forming a dual gate of a semiconductor device includes the steps of sequentially stacking a gate insulating film, a semiconductor layer, and a low resistance metal layer on a semiconductor substrate having a first well of a first conductivity type and a second well of a second conductivity type, forming first and second gate patterns that include the semiconductor layer and the low resistance metal layer on the substrate corresponding to the first and second wells, forming sidewall spacers at sides of the first and second gate patterns, and exposing the first well and the first gate pattern, implanting impurity ions of the second conductivity type into the exposed first well and the first gate pattern to form a first source and a first drain, exposing the second well and the second gate pattern, implanting impurity ions of the first conductivity type into the exposed second well and the second gate pattern to form a second source and a second drain; and diffusing the impurity ions from the low re
    Type: Grant
    Filed: November 3, 1999
    Date of Patent: December 3, 2002
    Assignee: Hyundai Electronics Co., Ltd.
    Inventors: Dong Kyun Sohn, Jeong Mo Hwang
  • Patent number: 6479338
    Abstract: A semiconductor substrate having a first conductivity type is first prepared. Then, a well region is formed in the substrate so as to have a second conductivity type opposite to the first conductivity type. Next, a first ion having the first conductivity type is implanted into the well region to form a region to be a first drain region having a first impurity density and into the substrate to form a region to be a first channel stopper region. Next, a second ion having the second conductivity type is implanted into the well to form a region to be a second channel stopper region and into the substrate to form a region to be a the second drain region having a second impurity density. Then, the respective ion implanted regions are thermally diffused to form the first drain region and the second channel stopper region in the well region and to form the second drain region and the first channel stopper region in the substrate.
    Type: Grant
    Filed: November 30, 2001
    Date of Patent: November 12, 2002
    Assignee: Mitsumi Electric Co., Ltd.
    Inventors: Shigeki Onodera, Ichiro Ohashi
  • Patent number: 6472283
    Abstract: Submicron-dimensioned MOS and/or CMOS transistors are fabricated by a process employing removable sidewall spacers made of a material, such as UV-nitride, which is readily etched in its as-deposited, undensified state but difficult-to-etch in its thermally annealed, densified state. The as-deposited, undensified spacers are removed by etching with dilute aqueous HF after implantation of moderately or heavily-doped source/drain regions but prior to annealing of the implants for dopant diffusion/activation and lattice damage relaxation. Lightly- or moderately doped, shallow-depth source/drain extensions are implanted and annealed after spacer removal.
    Type: Grant
    Filed: September 22, 2000
    Date of Patent: October 29, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Emi Ishida, Srinath Krishman, Ming Yin Hao, Effiong Ibok
  • Patent number: 6468847
    Abstract: A method for making a high voltage insulated gate field-effect transistor with multiple JFET conduction channels comprises successively implanting a dopant of a first conductivity type in a first substrate of a second conductivity type so as to form a first plurality of buried layers disposed at a different vertical depths. The first substrate is flipped over and then bonded to a second substrate of the first conductivity type. After the first substrate has been thinned, another set of implants are successively performed so as to form a second plurality of buried layers in stacked parallel relationship to the first plurality of buried layers.
    Type: Grant
    Filed: November 27, 2000
    Date of Patent: October 22, 2002
    Assignee: Power Integrations, Inc.
    Inventor: Donald Ray Disney
  • Publication number: 20020151144
    Abstract: There is provided a manufacturing method for obtaining an MOS transistor which has a homopolar gate structure and a high-melting metallic silicide structure and is suitable even for high speed operation, while at the same time having a structure in which a sufficient withstand voltage can be attained by forming, by a simple method, low concentration drain regions with a long distance. A source and a drain, which have a low concentration, are formed and a thick insulating film and positive resist is formed (applied) on a gate electrode. Then, the positive resist is exposed at an amount of exposure suitable to expose a portion corresponding to a film thickness of the positive resist formed on a flat portion of the thick insulating film as a base and developed. The thick insulating film is etched by an amount substantially corresponding to a film thickness thereof by anisotropic etching using as a mask those portions of the positive resist partially remaining in a step portion.
    Type: Application
    Filed: March 12, 2002
    Publication date: October 17, 2002
    Inventors: Hiroaki Takasu, Jun Osanai
  • Patent number: 6465315
    Abstract: A method of fabricating an integrated circuit with a source side compensation implant utilizes tilt-angle implants. An asymmetric channel profile is formed in which less dopants are located on a source side. The process can be utilized for P-channel or N-channel metal oxide field semiconductor effect transistors (MOSFETS).
    Type: Grant
    Filed: January 3, 2000
    Date of Patent: October 15, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6461921
    Abstract: The work surface of a p-type silicon substrate has a section where an E type MOSFET is formed, and a section where an I type MOSFET having a threshold voltage of about 0.1V is formed. The MOSFET is formed using a p-type well layer having a resistivity lower than that of the ground of the silicon substrate. The well layer includes deep and shallow portions which are integrally formed and have the same resistivity. The deep well portion defines an element area for forming the MOSFET, whereas the shallow well portions are arranged immediately below element isolation films surrounding the I type MOSFET, and function as channel stoppers.
    Type: Grant
    Filed: July 11, 2000
    Date of Patent: October 8, 2002
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Norihisa Arai
  • Patent number: 6461922
    Abstract: A method of forming a doped region in an integrated circuit which includes a matrix of memory cells and lightly-doped drain transistors and which is fabricated by means of a process providing for a Self-Aligned Source masked etch and implant and for a selective salicidation of some doped regions, the doped region suitable for forming an integrated resistor and/or an abrupt-profile source/drain region of a transistor. The doped region is formed by introducing into a semiconductor layer of a first conductivity type a dopant of a second conductivity type and exploiting the SAS masked implant used to form source regions of the matrix of memory cells. At least a portion of a surface of the doped region is prevented from being salicidated by using as a protective mask a portion of a dielectric layer from which insulating sidewall spacers for the LDD transistors are formed.
    Type: Grant
    Filed: December 15, 1999
    Date of Patent: October 8, 2002
    Assignee: STMicroelectronics S.r.l.
    Inventors: Paolo Colombo, Alfonso Maurelli
  • Patent number: 6461924
    Abstract: A MOS transistor of the present invention includes a semiconductor substrate of a first conductivity type impurity, a gate insulating layer formed on the semiconductor substrate, gate electrodes formed on the gate insulating layer, and an oxide layer formed by surface oxidation of the gate electrodes. A first spacer is formed on the side wall of the gate electrodes, and a second spacer is formed on the inclined side wall. A first impurity layer of low concentration is formed at a first depth by a second conductivity type impurity implanted in the vicinity of surface of the semiconductor substrate to be self-aligned at the edge of the gate electrode. A second impurity layer of middle concentration is formed at a deeper second depth than the first depth by the second conductivity type impurity implanted in the vicinity of surface of the semiconductor substrate.
    Type: Grant
    Filed: May 2, 2001
    Date of Patent: October 8, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyun-Sik Kim, Heon-Jong Shin, Soo-Cheol Lee
  • Publication number: 20020142552
    Abstract: The invention discloses methods of fabricating a semiconductor device structure having low source/drain junction capacitances and low junction leakage currents. The low source/drain junction capacitances are obtained by implementing in a self-aligned manner the major portions of the heavily-doped source and drain regions of a device over the trench-isolation region using highly-conductive silicided polycrystalline- or amorphous-semiconductor and the junction leakage currents resulting from the generation/recombination current in the depletion regions of the heavily-doped source and drain junctions due to the implant-induced defects can be much reduced or eliminated. Moreover, the contacts are made on the silicided heavily-doped source and drain regions over the trench- isolation regions, the traditional contact-induced leakage current due to the shallow source/drain junction can be completely eliminated by the present invention.
    Type: Application
    Filed: March 30, 2001
    Publication date: October 3, 2002
    Inventor: Ching-Yuan Wu
  • Publication number: 20020135015
    Abstract: A method for forming a self-aligned local-halo metal-oxide-semiconductor device is provided. The present method is characterized in that a pair of first sidewall spacers is firstly formed on opposite sides of a gate electrode over a semiconductor substrate, and then a pair of second sidewall spacers is formed, each of which formed on one side of each first sidewall spacer. Next, a raised source/drain is formed upward on the substrate between each shallow trench isolation and each second sidewall spacer. Thereafter, the pair of second sidewall spacers is stripped away. Then, the gate electrode and raised source/drain act as the self-aligned ion implant masks, a LDD/Halo implantation is performed to form a local LDD/Halo diffusion region between each shallow trench isolation and each of the first sidewall spacers.
    Type: Application
    Filed: March 22, 2001
    Publication date: September 26, 2002
    Inventors: Tai-Ju Chen, Hua-Chou Tseng
  • Patent number: 6455384
    Abstract: A process for fabricating a MOSFET device, featuring source/drain extension regions, formed after the utilization of high temperature processes, such as heavily doped source/drain regions, has been developed. Disposable insulator spacers are formed on the sides of doped, SEG silicon regions, followed formation of a gate insulator layer, and an overlying gate structure, on a region of the semiconductor substrate located between the doped SEG silicon regions. The temperature experienced during these process steps result in the formation of the heavily doped source/drain, underlying the SEG silicon regions. Selective removal of the disposable spacers, allows the source/drain extension regions to be placed in the space vacated by the disposable spacers, adjacent to the heavily doped source/drain region. Insulator spacers are then used to fill the spaces vacated by removal of the disposable spacers, directly overlying the source/drain extension regions.
    Type: Grant
    Filed: October 9, 2001
    Date of Patent: September 24, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Ting Cheong Ang, Shyue Fong Quek, Jun Song, Xing Yu
  • Patent number: 6455380
    Abstract: A semiconductor device is disclosed, including: a semiconductor substrate; a gate electrode formed on the semiconductor substrate; a first gate insulating layer formed between the gate electrode and semiconductor substrate, and formed at a first region including one edge of the gate electrode; a second gate insulating layer formed between the gate electrode and semiconductor substrate, and formed at a second portion including the other edge of the gate electrode, the second gate insulating layer being thicker than the first gate insulating layer; a first impurity region formed in a predetermined portion of the semiconductor substrate, placed on both sides of the gate electrode; and a second impurity region formed in a predetermined portion of the semiconductor substrate, placed under the second gate insulating layer.
    Type: Grant
    Filed: December 14, 2000
    Date of Patent: September 24, 2002
    Assignee: LG Semicon Co., Ltd
    Inventor: Gyu Han Yoon
  • Patent number: 6451675
    Abstract: A method for fabricating a metal-oxide semiconductor (MOS) transistor. A substrate having a gate structure is provided. The method of the invention includes forming a liner spacer on each side of the gate structure and a low dopant density region deep inside the substrate. The low dopant density region has a lower dopant density than that of a lightly doped region of the MOS transistor. Then a interchangeable source/drain region with a lightly doped drain (LDD) structure and an anti-punch-through region is formed on each side of the gate structure in the low dopant density region. The depth of the interchangeable source/drain region is not necessary to be shallow.
    Type: Grant
    Filed: September 12, 2000
    Date of Patent: September 17, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Kuan Yeh, Jih-Wen Chou
  • Publication number: 20020125530
    Abstract: A high voltage MOS device (100) with multiple p-regions (110) is disclosed. The device comprises a plurality of p-regions (110) arranged as multiple segments both perpendicular to and parallel to current flow. The p-regions (110) allow for depletion in all directions when the device is blocking voltage, leading to a high breakdown voltage. During operation, the multiple regions have multiple conductivity channels (118) of high conductivity that allows current to flow, thus enhancing on-resistance.
    Type: Application
    Filed: March 7, 2001
    Publication date: September 12, 2002
    Applicant: Semiconductor Components Industries, LLC.
    Inventors: Mohamed Imam, Evgueniy N. Stefanov, Zia Hossain, Mohammed Tanvir Quddus, Joe Fulton, Jeff Hall
  • Patent number: 6448142
    Abstract: A fabrication method for a metal oxide semiconductor transistor is described. A source/drain implantation is conducted on a substrate beside the spacer that is on the sidewall of the gate to form a source/drain region in the substrate beside the spacer. A self-aligned silicide layer is further formed on the gate and the source/drain region. A portion of the spacer is removed to form a triangular spacer with a sharp corner, followed by performing a tilt angle implantation on the substrate to form a source/drain extension region in the substrate under the side of the gate and the spacer with the sharp corner. A thermal cycle is further conducted to adjust the junction depth and the dopant profile of the source/drain extension region.
    Type: Grant
    Filed: August 3, 2001
    Date of Patent: September 10, 2002
    Assignee: Macronix International Co., Ltd.
    Inventors: Han-Chao Lai, Hung-Sui Lin, Tao-Cheng Lu
  • Patent number: 6444548
    Abstract: A integrated circuit device and method for manufacturing an integrated circuit device includes forming a patterned gate stack, adjacent a storage device, to include a storage node diffusion region adjacent the storage device and a bitline contact diffusion region opposite the storage node diffusion region, implanting an impurity in the storage node diffusion region and the bitline contact diffusion region, forming an insulator layer over the patterned gate stack, removing a portion of the insulator layer from the bitline contact diffusion region to form sidewall spacers along a portion of the patterned gate stack adjacent the bitline contact diffusion region, implanting a halo implant into the bitline contact diffusion region, wherein the insulator layer is free from blocking the halo implant from the second diffusion region and annealing the integrated circuit device to drive the halo implant ahead of the impurity.
    Type: Grant
    Filed: February 25, 1999
    Date of Patent: September 3, 2002
    Assignee: International Business Machines Corporation
    Inventors: Ramachandra Divakaruni, Yujun Li, Jack A. Mandelman
  • Patent number: 6444511
    Abstract: A new cascaded NMOS transistor output circuit with enhanced ESD protection is achieved. A driver PMOS transistor has the source connected to a voltage supply, the gate connected to the input signal, and the drain connected to the output pad. A dummy PMOS transistor has the source and the gate connected to the voltage supply, and the drain connected to the output pad. A driver NMOS cascaded stack comprises first and second NMOS transistors. The first NMOS transistor has the source connected to ground and the gate connected to the input signal. The second NMOS transistor has the gate connected to the voltage supply, the source connected to the first NMOS transistor drain, and the drain connected to the output pad. A p− implanted region underlies the n+ region of the drain but does not underlie the n+ region of the source. A dummy NMOS cascaded stack comprises third and fourth NMOS transistors. The third NMOS transistor has the gate and the source connected to ground.
    Type: Grant
    Filed: May 31, 2001
    Date of Patent: September 3, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Yi-Hsu Wu, Hung-Der Su, Jian-Hsing Lee, Boon-Khim Liew
  • Patent number: 6444531
    Abstract: The present provides a method for tailoring silicon dioxide source and drain implants and, if desired, extension implants of different devices used on a semiconductor wafer in order to realize shallow junctions and minimize the region of overlap between the gate and source and drain regions and any extension implants. The method includes the steps of applying a mask over a first gate structure positioned on a semiconductor substrate, depositing a layer of a spacer material over the surface of the first gate structure and a second gate structure adjacent to the first gate structure, etching the spacer material so that a portion of the spacer material remains on the second gate sidewalls and a sidewall of the block out mask, implanting ions into the semiconductor substrate into a region defined between the spacer material on the block out mask and the second gate to form a source or drain region, and removing the spacer material and block out mask.
    Type: Grant
    Filed: August 24, 2000
    Date of Patent: September 3, 2002
    Assignees: Infineon Technologies AG, International Business Machines Corporation
    Inventors: Thomas S. Rupp, Scott Halle
  • Patent number: 6436798
    Abstract: A method of fabricating a MOSFET device with a multiple T-shaped gate has the following steps. A substrate with an active region and a non-active region is provided, wherein the active region has a plurality of trenches, and the non-active region has a plurality shallow trench isolation structures. A thin insulating layer and a conducting layer are formed in the trenches. The conducting layer is defined to form a gate. The device is implanted with first ions. Then, the device is further implanted with second ions by using a mask, wherein the mask expose the trenches of the active region, and the opening of the mask is wider than the trench. The MOSFET device has at least the following structures. There is a substrate with an active region and a non-active region, wherein the active region has a plurality of trenches and the non-active region has a plurality of shallow trench isolation structures.
    Type: Grant
    Filed: August 24, 1999
    Date of Patent: August 20, 2002
    Assignee: United Microelectronics Corp.
    Inventor: Kuan-Yu Fu
  • Patent number: 6429062
    Abstract: In the fabrication of a 0.10 micron CMOS integrated circuit, a high-energy plasma etch is used to pattern a polysilicon layer and an underlying gate oxide layer to define gate structures. A thermal oxide step anneals silicon exposed and damaged by this etch. Instead of using this thermal oxide as a blocking layer for a source/drain extension implant, it is removed so as to expose the silicon surfaces of the source/drain regions. A TEOS deposition results in a carbon-bearing silicon dioxide layer in contact with the surfaces of the crystalline source/drain regions. A boron PMOS source/drain extension implant is performed through this carbon-bearing blocking layer. Subsequent steps result in the formation of sidewall spacers, heavily doped source/drain sections, submetal dielectric, an intermetal dielectric interconnect structure, and passivation. The relatively high interstitial recombination rate of the carbon-bearing blocking layer attracts a flow of interstitial silicon.
    Type: Grant
    Filed: September 20, 1999
    Date of Patent: August 6, 2002
    Assignee: Koninklike Philips Electronics N.V.
    Inventor: Mark E. Rubin
  • Patent number: 6429054
    Abstract: A method of forming a semiconductor-on-insulator (SOI) device. The method includes providing an SOI wafer having an active layer, a substrate and a buried insulator layer therebetween; defining an active region in the active layer; forming a source, a drain and body in the active region, the source and the drain forming respective hyperabrupt junctions with the body, the hyperabrupt junctions being formed by an SPE process which includes amorphizing the at least one of the source and the drain, implanting dopant ion species and recrystalizing at temperature of less than 700° C.; forming a gate disposed on the body such that the source, drain, body and gate are operatively arranged to form a transistor; and forming a silicide region in each of the source and the drain, the silicide regions being spaced from the respective hyperabrupt junctions by a lateral distance of less than about 100 Å.
    Type: Grant
    Filed: June 11, 2001
    Date of Patent: August 6, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Srinath Krishnan, Witold P. Maszara
  • Publication number: 20020102800
    Abstract: The invention relates to the manufacture of a so-called LDMOSFET, in which a gate oxide (1) layer is not only deposited under the gate electrode (1) but also on both sides thereof. Against the sides of the gate electrode (1), which comprises silicon nitride, spacers (5) are positioned, which comprise a material that is selectively removable from the material of the gate oxide layer (1). The drain (3) is provided with a lightly doped part (3A) bordering the gate electrode (1).
    Type: Application
    Filed: December 11, 2001
    Publication date: August 1, 2002
    Inventor: Renerus Antonius Van Den Heuvel
  • Publication number: 20020102801
    Abstract: A method for forming extension by using double etch spacer. The method at least includes the following steps. First of all, provide a semiconductor substrate. Then, forms the gate on the substrate. A first spacer is formed on a sidewall of the gate. Then, numerous first ions are implanted to substrate by a mask of both the gate and the first spacer to form the source/drain region. Then, form the second spacer by etching the first spacer, wherein the width of the second spacer is less than the width of the first spacer. Finally, numerous second ions are implanted to substrate by a mask of both the gate and the second spacer to form an extension.
    Type: Application
    Filed: January 26, 2001
    Publication date: August 1, 2002
    Inventors: Han-Chao Lai, Tao-Cheng Lu, Hung-Sui Lin
  • Publication number: 20020102799
    Abstract: EEPROM and FLASH memory cells are formed together in integrated production. A gate finger is used for implementing a homogeneous tunnel diffusion region for the EEPROM memory cell. This allows the different memory cells to be produced in a particularly simple and inexpensive manner.
    Type: Application
    Filed: April 16, 2001
    Publication date: August 1, 2002
    Inventors: Peter Wawer, Elard Stein Von Kamienski, Christoph Ludwig
  • Patent number: 6423601
    Abstract: Submicron-dimensioned, p-channel MOS transistors and CMOS devices a formed using nitrogen and boron co-implants for forming p-type well regions, each implant having a parabolically-shaped concentration distribution profile. During subsequent thermal annealling, boron-doped wells are formed, each having a retrograde-shaped concentration distribution profile exhibiting a peak boron concentration at a preselected depth below the semiconductor substrate surface. The inventive method reduces “short-channel” effects such as “punch-through” while maintaining high channel mobility.
    Type: Grant
    Filed: November 14, 2000
    Date of Patent: July 23, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Emi Ishida, Ming Yin Hao
  • Patent number: 6420264
    Abstract: A method of forming a silicide region (80) on a Si substrate (10) in the manufacturing of semiconductor integrated devices, a method of forming a semiconductor device (MISFET), and a device having suicide regions formed by the present method. The method of forming a suicide region involves forming a silicide region (80) in the (crystalline) Si substrate having an upper surface (12) and a lower surface (14). The method comprises the steps of first forming an amorphous doped region (40) in the Si substrate at or near the upper surface, to a predetermined depth (d). This results in the formation of an amorphous-crystalline interface (I) between the amorphous doped region and the crystalline Si substrate. The next step is forming a metal layer (60) atop the Si substrate upper surface, in contact with the amorphous doped region. The next step involves performing backside irradiation with a first radiation beam (66).
    Type: Grant
    Filed: June 28, 2001
    Date of Patent: July 16, 2002
    Assignee: Ultratech Stepper, Inc.
    Inventors: Somit Talwar, Yun Wang
  • Patent number: 6417055
    Abstract: The present invention relates to a method for forming a gate electrode in a semiconductor device that is more tolerant of misalignment during contact formation processing. The improved gate structure reduces the formation of shorts between the gate electrode and subsequently formed conductors such as DRAM bit lines and storage lines. The gate electrode is formed from a damascene metal gate electrode having adjacent insulating spacers by partially etching the metal gate electrode to form a trench; depositing a nitride film; and etching the nitride film to form additional protective insulators above outer portions of the gate electrodes. With these protective insulators in place, subsequent contact processing becomes more tolerant of misalignment, reducing rework and improving yield.
    Type: Grant
    Filed: July 2, 2001
    Date of Patent: July 9, 2002
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Se Aug Jang, Tae Kyun Kim, In Seok Yeo
  • Patent number: 6406951
    Abstract: For fabricating a field effect transistor on a semiconductor substrate in SOI (semiconductor on insulator) technology, an insulating block comprised of insulating material is formed on a thin semiconductor island comprised of semiconductor material. Semiconductor material is further grown from sidewalls of the semiconductor island to extend up along sidewalls of the insulating block to form a raised drain structure on a first side of the insulating block and the semiconductor island and to form a raised source structure on a second side of the insulating block and the semiconductor island. A drain and source dopant is implanted into the raised drain and source structures. A thermal anneal is performed to activate the drain and source dopant within the raised drain and source structures and such that the drain and source dopant extends partially into the semiconductor island. The insulating block is etched away to form a block opening, and a gate dielectric is deposited at a bottom wall of the block opening.
    Type: Grant
    Filed: February 12, 2001
    Date of Patent: June 18, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Publication number: 20020072186
    Abstract: A P channel high voltage metal oxide semiconductor device is described which is integrated in the same chip or wafer as standard P channel and N channel metal oxide semiconductor devices. The high voltage device has a lightly doped p− drift region adjacent to the heavily doped p+ drain region. A high voltage support region is formed directly below the drift region using high energy ion implantation with an implantation energy of between about 2 and 3 Mev. This high energy ion implantation is used to precisely locate the high voltage support region directly below the drift region. This high voltage support region avoids punch-through from the P channel drain through the drift region into the substrate while using a standard depth for the n type well. This allows the high voltage device to be integrated into the same chip or wafer as standard P channel and N channel metal oxide semiconductor devices.
    Type: Application
    Filed: February 5, 2001
    Publication date: June 13, 2002
    Applicant: ESM Limited
    Inventor: Ivor Robert Evans
  • Patent number: 6399453
    Abstract: Desired operating characteristics are obtained from an MISFET in which a p-type silicon gate electrode is used by preventing the leakage of boron into the channel region in the following way. N-type amorphous silicon 9n is formed by ion-implanting phosphorus into an amorphous silicon. Next, boron is ion-implanted in n-type amorphous silicon 9n to convert it into p-type amorphous silicon 9p. Amorphous silicon 9p is then crystallized. Finally, the gate electrode of the MISFET is constructed of the p-type polycrystalline silicon, which has been obtained in the above steps, and in which phosphorus and boron have been implanted.
    Type: Grant
    Filed: June 27, 2001
    Date of Patent: June 4, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Ryo Nagai, Norikatsu Takaura, Hisao Asakura
  • Patent number: 6399452
    Abstract: A low thermal budget transistor is fabricated by first forming a gate on a semiconductor substrate. First amorphous regions and first inactive dopant regions are then created in the substrate by ion implantation. Sidewall spacers, which align subsequent implantation steps, are formed adjacent to the gate. Thereafter, second amorphous regions and second inactive dopant regions are created in the substrate by ion implantation. Dopants in the first and second inactive dopant regions are then activated using a low temperature annealing process to create source/drain regions and source/drain extension regions. The aforementioned process simplifies the fabrication of a low thermal budget transistor by dispensing with the requirement to remove the sidewall spacers.
    Type: Grant
    Filed: July 8, 2000
    Date of Patent: June 4, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Srinath Krishnan, Witold Maszara
  • Patent number: 6399432
    Abstract: For use with sub-micron CMOS technologies, a gate etch process improves control of the etch profile. Gate stacks utilize N-type or P-type doped amorphous or poly silicon to enhance device performance. However, the different etching characteristics of the N-type versus the P-type amorphous or poly silicon material can result in a localized breakthrough of the underlying thin gate oxide adjacent to the edge of the gate stack, especially in the N doped active regions. According to one example embodiment, this localized breakthrough (“microtrenching”) is avoided by building the gate stacks with undoped amorphous or poly silicon to the desired configuration, masking the gate stacks with a dielectric layer, planarizing the dielectric layer and then implanting the N-type or P-type species into the selected gate stack.
    Type: Grant
    Filed: November 24, 1998
    Date of Patent: June 4, 2002
    Assignee: Philips Semiconductors Inc.
    Inventors: Tammy Zheng, Subhas Bothra
  • Publication number: 20020063284
    Abstract: Semiconductor regions for the suppression of short channel effects are not provided for a pMIS and an nMIS that constitute an inverter circuit of an input first stage of an I/O buffer circuit, whereas semiconductor regions for the suppression of short channel effects are provided for pMIS and nMIS of inverter circuits subsequent to the next stage of an I/O buffer circuit.
    Type: Application
    Filed: November 2, 2001
    Publication date: May 30, 2002
    Inventors: Hideki Aono, Kousuke Okuyama, Kozo Watanabe, Kenichi Kuroda
  • Publication number: 20020064921
    Abstract: In a flash memory having enhanced reliability, each memory cell has a floating gate electrode which is formed on a semiconductor substrate by being interposed by a gate insulation film, a control gate electrode which is formed on the floating gate electrode by being interposed by an inter-layer film, a pair of n-type semiconductor regions (source regions) formed on the semiconductor substrate to confront two sidewise portions of the floating gate electrode, an n-type semiconductor region (drain region) formed beneath the n-type semiconductor region pair by being interposed by channel well regions, and a common p-well formed beneath the semiconductor region. The n-type semiconductor regions and channel well regions make up the DD structure.
    Type: Application
    Filed: October 2, 2001
    Publication date: May 30, 2002
    Applicant: Hitachi, Ltd.
    Inventors: Masataka Kato, Toshiaki Nishimoto
  • Patent number: 6395589
    Abstract: For fabricating a field effect transistor on a semiconductor substrate in SOI (semiconductor on insulator) technology, an insulating block comprised of insulating material is formed on a thin semiconductor island comprised of semiconductor material. Semiconductor material is further grown from sidewalls of the semiconductor island to extend up along sidewalls of the insulating block to form a raised drain structure on a first side of the insulating block and the semiconductor island and to form a raised source structure on a second side of the insulating block and the semiconductor island. A drain and source dopant is implanted into the raised drain and source structures. A thermal anneal is performed to activate the drain and source dopant within the raised drain and source structures and such that the drain and source dopant extends partially into the semiconductor island. Drain and source silicides are formed within the raised drain and source structures.
    Type: Grant
    Filed: February 12, 2001
    Date of Patent: May 28, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6395623
    Abstract: In one aspect, the invention provides a method of forming a contact opening to a conductive line. In one preferred implementation, a contact opening is formed to a conductive line which overlies a substrate isolation area with an etch which also outwardly exposes substrate active area to accommodate source/drain doping. In another preferred implementation, desired PMOS regions over a substrate into which p-type impurity is to be provided are exposed while a contact opening is contemporaneously formed to at least one conductive line extending over substrate isolation oxide. In another preferred implementation, a contact opening to a conductive line over a substrate and an opening to a laterally spaced substrate active area are formed in a common masking step. In another preferred implementation, desired PMOS active areas over a substrate are exposed and p-type impurity to a first concentration is provided into desired exposed areas.
    Type: Grant
    Filed: August 27, 1998
    Date of Patent: May 28, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Werner Juengling
  • Patent number: 6395654
    Abstract: A gate structure for an ONO flash memory device includes a first layer of silicon oxide on top of a semiconductor substrate, a second layer of silicon oxide, a layer of silicon nitride sandwiched between the two silicon oxide layers, and a control gate on top of the second layer of silicon oxide. Nitrogen is implanted into the first layer of silicon oxide and then the semiconductor structure is heated using a rapid thermal tool to anneal out the implant damage and to diffuse the implanted nitrogen to the substrate and silicon oxide interface to cause SiN bonds to be formed at that interface. The SiN bonds are desirable because they improve the bonding strength at the interface and the nitrogen remaining in the silicon oxide layer increases the oxide bulk reliability.
    Type: Grant
    Filed: August 25, 2000
    Date of Patent: May 28, 2002
    Assignees: Advanced Micro Devices, Inc., Fujitsu Limited
    Inventors: Jean Yang, Yider Wu, Hidehiko Shiraiwa, Mark Ramsbey
  • Publication number: 20020061625
    Abstract: A method of manufacturing a metal oxide semiconductor device, wherein a gate dielectric layer, a conductive layer and a patterned mask layer are successively formed on the substrate. Using the mask layer as a mask, the conductive layer is slant-etched and the remaining portion of the conductive layer becomes a spacer wall of a gate and between the two sides of the gate, and exposes a portion of the gate dielectric layer. The gate is located directly below the mask layer. Using the mask layer and the spacer wall as a mask, ion implantation is performed, thereby forming a source/drain region within the substrate between the two sidewalls of the spacer walls. An annealing process is performed. Using the mask layer as a mask to etch away the spacer wall, a lightly doped drain is formed with the substrate between the two sidewalls of the gate, thereby completing a MOS device.
    Type: Application
    Filed: December 4, 2000
    Publication date: May 23, 2002
    Inventors: Jyh-Ming Wang, Kun-Yuan Liao
  • Patent number: 6391723
    Abstract: A process for forming a vertical double-diffused metal oxide semiconductor (VDMOS) structure comprising a semiconductor substrate, an epitaxial layer on the substrate, and a dielectric gate layer on the epitaxial layer includes implanting a first concentration dopant of a first conductivity type through an aperture defined by edges of a patterned gate conductor layer on the dielectric gate layer so that the first concentration dopant diffuses to form a body region of the VDMOS structure. A mask is formed on the patterned gate conductor layer and on a first portion of the body region for defining apertures exposing second portions of the body region.
    Type: Grant
    Filed: May 31, 2000
    Date of Patent: May 21, 2002
    Assignee: STMicroelectronics S.R.L.
    Inventor: Ferruccio Frisina
  • Patent number: 6391697
    Abstract: A method for the formation of a gate electrode with a uniform thickness in the semiconductor device by using a difference in polishing selection ratio between a polymer and an oxide film.
    Type: Grant
    Filed: May 16, 2001
    Date of Patent: May 21, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Sang-Ick Lee
  • Patent number: 6391725
    Abstract: A semiconductor device which is applied to access transistors of an SRAM cell to improve its operation performance and a method for fabricating the same are disclosed. The semiconductor device includes a gate insulating layer formed on a semiconductor substrate, a gate electrode formed on the gate insulating layer, lightly doped impurity regions having different lengths beneath surface of the semiconductor substrate at first and second sides of the gate electrode, and heavily doped impurity regions formed beneath the surface of the semiconductor substrate, extending from the lightly doped impurity regions.
    Type: Grant
    Filed: September 7, 1999
    Date of Patent: May 21, 2002
    Assignee: LG Semicon Co., Ltd.
    Inventors: Min Wha Park, Hae Chang Yang
  • Patent number: 6383881
    Abstract: A method for forming a lightly doped drain (LDD) field effect transistor uses very thin first sidewall spacers over the gate sidewalls, in which annealing/oxidation of the sidewall spacers results in (a) the rounding of corner portions of the gate structure sidewalls adjacent the gate oxide, and (b) a very low thermal consumption comprising a small portion of the total thermal budget. Secondary sidewall spacers of greater width are then formed to act as offsets in the introduction of N-type dopants into the substrate to form source and drain contact regions. The method may be varied to accommodate various design configurations and size scaling.
    Type: Grant
    Filed: April 24, 2001
    Date of Patent: May 7, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Salman Akram, Mohamed A. Ditali
  • Patent number: 6380042
    Abstract: A self-aligned contact process is provided on a semiconductor substrate having at least two gate structures and a plurality of lightly ion-doped regions on the semiconductor substrate. Each of the gate structures has a gate layer and a cap layer formed on the gate layer. A first sidewall spacer is formed on the sidewalls of the gate structure, and then a heavy ion-doped region is formed on the exposed lightly ion-doped region. Next, a first dielectric layer is formed to fill the gap between adjacent first sidewall spacers. Part of the first sidewall spacer and part of the first dielectric layer is removed to expose the cap layer. A second spacer is then formed on the exposed sidewall of the cap layer. Next, a second dielectric layer is formed to fill the gap between adjacent second sidewall spacers. Finally, the second dielectric layer and the first dielectric layer positioned adjacent gate structures are removed to expose the second ion-doped region so as to form a contact hole.
    Type: Grant
    Filed: June 7, 2001
    Date of Patent: April 30, 2002
    Assignee: Winbond Electronics Corp.
    Inventor: Shui-Chin Huang