Conformal Insulator Formation Patents (Class 438/437)
  • Patent number: 6921705
    Abstract: A method for forming an isolation layer of a semiconductor device. The method includes: a) sequentially laminating a pad oxide layer and pad nitride layer on a semiconductor substrate; b) selectively removing the pad nitride layer, selectively removing the pad oxide layer and the substrate, thereby forming a trench in the substrate; c) implanting ions in a direction with a tilted angle into a side wall of the pad nitride layer located in an upper side of the trench; d) removing the side wall portion of the pad nitride layer in the trench, in which the ions are implanted, to form a sloped side wall of the pad nitride layer, wherein the sloped side wall is inclined in an inverse direction; e) filling a HDP oxid layer in an upper surface of an entire structure including the trench; f) planarizing the HDP oxide layer and the pad nitride layer; and g) removing a remaining pad nitride layer, thereby forming an isolation layer.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: July 26, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventors: Myung Gyu Choi, Hyung Sik Kim
  • Patent number: 6911374
    Abstract: A fabrication method for a shallow trench isolation region is described. A part of the trench is filled with a first insulation layer, followed by performing a surface treatment process to form a surface treated layer on the surface of a part of the first insulation layer. The surface treated layer is then removed, followed by forming a second insulation layer on the first insulation layer and filling the trench to form a shallow trench isolation region. Since a part of the trench is first filled with the first insulation layer, followed by removing a portion of the first insulation layer, the aspect ratio of the trench is lower before the filling of the second insulation in the trench. The adverse result, such as, void formation in the shallow trench isolation region due to a high aspect ratio, is thus prevented.
    Type: Grant
    Filed: August 5, 2003
    Date of Patent: June 28, 2005
    Assignee: Macronix International Co., Ltd.
    Inventors: Chin Hsiang Lin, Chin-Wei Liao, Hsueh-Hao Shih, Kuang-Chao Chen
  • Patent number: 6908831
    Abstract: A method for encapsulating a filling in a trench of a semiconductor substrate includes providing a first barrier layer in a trench and a second barrier layer disposed above the first barrier layer. The trench is filled with a filling, which is subsequently etched back in an upper trench section, so that a hole is produced and a filling residue remains in a lower trench section. Subsequently, a non-conformal cover layer is provided in an upper trench section, so that the cover layer of a bottom region has a first thickness greater than a second thickness of a wall region of the cover layer. The cover layer and the second barrier layer are isotropically etched-back and removed from the upper trench section, and the first barrier layer remains. The bottom region remains covered resulting in the filling residue being encapsulated by the first barrier layer and the residual cover layer.
    Type: Grant
    Filed: October 15, 2004
    Date of Patent: June 21, 2005
    Assignee: Infineon Technologies AG
    Inventors: Lincoln O'Riain, Jörg Radecker
  • Patent number: 6872631
    Abstract: A method of forming a trench isolation in a substrate includes the steps of forming a trench groove in a substrate, forming a first electrically insulating layer which fills the trench groove and extends over an upper surface of the substrate, where the first electrically insulating layer has a first surface migration, and an upper surface of the first electrically insulating layer has a first hollow positioned over the trench groove, and forming a second electrically insulating layer over the first electrically insulating layer. The second electrically insulating layer fills the first hollow and an upper surface of the second electrically insulating layer has a second hollow positioned over the trench groove. The second electrically insulating layer has a second surface migration smaller than the first surface migration.
    Type: Grant
    Filed: June 27, 2003
    Date of Patent: March 29, 2005
    Assignee: NEC Electronics Corporation
    Inventor: Kenya Kobayashi
  • Patent number: 6872632
    Abstract: A method of fabricating a semiconductor device capable of suppressing defective etching in formation of a deep trench also when the number of polishing steps is reduced is obtained. This method of fabricating a semiconductor device comprises steps of forming a first trench on an element isolation region of a semiconductor substrate, forming a first film consisting of an insulator film to fill up the first trench, forming a second trench larger in depth than the first trench in the first trench, forming an embedded film in the second trench and substantially simultaneously polishing an excess depositional portion of the first film and an excess depositional portion of the embedded film.
    Type: Grant
    Filed: February 2, 2004
    Date of Patent: March 29, 2005
    Assignee: Sanyo Electric Co., Ltd.
    Inventor: Yoshikazu Ibara
  • Patent number: 6858516
    Abstract: A manufacturing method of a high aspect ratio shallow trench isolation region. A substrate with a trench therein is provided and placed into a chamber. A first insulation layer is formed on the substrate as well as inside the trench by high density plasma chemical vapor deposition. The majority of the first insulation layer outside the trench is removed by in situ etching using carbon fluoride as an etching gas with high selectivity for SiO2/SiN etching ratio, and a second insulation layer is formed on the first insulation layer by high density plasma chemical vapor deposition, filling the trench. According to the present invention, a high aspect ratio shallow trench isolation region without voids can thus be achieved.
    Type: Grant
    Filed: October 23, 2002
    Date of Patent: February 22, 2005
    Assignee: Nanya Technology Corporation
    Inventors: Tzu-En Ho, Chang Rong Wu, Hsin-Jung Ho
  • Patent number: 6855615
    Abstract: A trench is formed on a primary surface of a semiconductor substrate, and is filled with trench material to separate the surface region of the semiconductor substrate into plural active regions. At least a portion of the surface of the trench material adjoining the semiconductor substrate is depressed by a predetermined depth with reference to the primary surface of the semiconductor device. Thus, prevented is a decrease in a drain current of a semiconductor device having a trench isolation structure.
    Type: Grant
    Filed: August 9, 2002
    Date of Patent: February 15, 2005
    Assignee: Renesas Technology Corp.
    Inventor: Shigeki Komori
  • Patent number: 6844239
    Abstract: A method for forming a shallow well of a semiconductor device using low-energy ion implantation is described. A well region is formed to the depth of a trench isolation layer using a low-energy, high-dose ion implantation process. The method for forming a well using low-energy ion implantation can minimize well margin reduction caused by impurity spread and well margin reduction caused by shrinkage of a thick photoresist pattern.
    Type: Grant
    Filed: July 23, 2003
    Date of Patent: January 18, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jae-Kyu Lee
  • Publication number: 20040266136
    Abstract: A method for fabricating a semiconductor device with a trench type device isolation layer capable of controlling a rounding angle of top corners of a trench and removing damaged layers formed after etching the trench. Particularly, the top corners of the trench is manipulated to have an angle of about 30° to about 60° by using a gas containing at least hydrogen bromide and chlorine gas. Then, an isotropic etching technique is performed as a light etch treatment to make the top corners have an angle of about 50° to about 80°. Finally, a dry oxidation technique is performed to form a screen oxide layer and a gate oxide layer so that moat generations are minimized prior to forming a gate electrode.
    Type: Application
    Filed: December 30, 2003
    Publication date: December 30, 2004
    Inventors: Tae-Woo Jung, Jun-Hyeub Sun
  • Patent number: 6833602
    Abstract: A device having electrically isolated low voltage and high voltage substrate regions includes low voltage and high voltage trench isolation structures in which a deep portion of the high voltage isolation trench provides electrical isolation in the high voltage regions. The high voltage isolation trench structures include a shallow portion that is simultaneously formed with the low voltage trench isolation structures. The deep portion of the high voltage isolation trench has a bottom surface and shares a continuous wall surface with the shallow portion that extends from the bottom surface to the principal surface of the substrate. A process for fabricating the device includes the use of a single resist pattern to simultaneously form the low voltage isolation trench structures and the shallow portion of the high voltage isolation structures.
    Type: Grant
    Filed: September 6, 2002
    Date of Patent: December 21, 2004
    Assignee: Lattice Semiconductor Corporation
    Inventor: Sunil D. Mehta
  • Patent number: 6833310
    Abstract: A semiconductor device having a thin film formed by atomic layer deposition and a method for fabricating the same, wherein the semiconductor device includes a liner layer formed on an internal wall and bottom of a trench, gate spacers formed on the sidewalls of gate stack patterns functioning as a gate line, a first bubble prevention layer formed on the gate spacers and the gate stack patterns, bit line spacers formed on the sidewalls of bit line stack patterns functioning as a bit line, and a second bubble prevention layer formed on the bit line spacers and the gate stack patterns and at least one of the above is formed of a multi-layer of a silicon nitride layer and a silicon oxide layer, or a multi-layer of a silicon oxide layer and a silicon nitride layer, thereby filling the trench, gate stack patterns, or bit line stack patterns without a void.
    Type: Grant
    Filed: July 12, 2001
    Date of Patent: December 21, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yeong-kwan Kim, Dong-chan Kim, Seung-hwan Lee, Young-wook Park
  • Publication number: 20040214404
    Abstract: A manufacturing method of a semiconductor device having a trench is provided to form, at a corner portion of the trench, an oxide film which is greater in thickness and smaller in stress than at other portions. When the trench formed in the semiconductor substrate is oxidized, it is oxidized in an oxygen environment containing dichloroethylene at a predetermined weight percent to allow the formation of an oxide film having a greater thickness at the corner portion of the trench than thickness at other portions, whereby the semiconductor device improving dielectric breakdown characteristics can be obtained.
    Type: Application
    Filed: January 26, 2004
    Publication date: October 28, 2004
    Inventors: Taishi Kubota, Yoshihiro Kitamura, Takuo Ohashi, Susumu Sakurai, Takayuki Kanda, Shinichi Horibe
  • Publication number: 20040198019
    Abstract: In order to achieve an isolation trench formation process according to the present invention in which the structure of a silicon nitride film liner can be easily controlled and to allow both of reduction of the device feature length and reduction in stress occurring in an isolation trench, the silicon nitride film liner is first deposited on the inner wall of the trench formed on a silicon substrate. The upper surface of a first embedded insulator film for filling the inside of the trench is recessed downward so as to expose an upper end portion of the silicon nitride film liner. Next, the exposed portion of the silicon nitride film liner is converted into non-silicon-nitride type insulator film, such as a silicon oxide film. A second embedded insulator film is then deposited on the upper portion of the first embedded insulator film, and the deposited surface is then planarized.
    Type: Application
    Filed: April 1, 2004
    Publication date: October 7, 2004
    Applicant: Renesas Technology Corp.
    Inventors: Kan Yasui, Toshiyuki Mine, Yasushi Goto, Natsuki Yokoyama
  • Patent number: 6797589
    Abstract: A method of manufacturing an insulating micro-structure by etching a plurality of trenches in a silicon substrate and filling said trenches with insulating materials. The trenches are etched and then oxidized until completely or almost completely filled with silicon dioxide. Additional insulating material is then deposited as necessary to fill any remaining trenches, thus forming the structure. When the top of the structure is metallized, the insulating structure increases voltage resistance and reduces the capacitive coupling between the metal and the silicon substrate. Part of the silicon substrate underlying the structure is optionally removed further to reduce the capacitive coupling effect. Hybrid silicon-insulator structures can be formed to gain the effect of the benefits of the structure in three-dimensional configurations, and to permit metallization of more than one side of the structure.
    Type: Grant
    Filed: December 18, 2002
    Date of Patent: September 28, 2004
    Assignee: Kionix, Inc.
    Inventors: Scott G. Adams, Scott A. Miller
  • Patent number: 6794259
    Abstract: A method for fabricating a self-aligning mask layer includes the steps of forming a surface to be masked in a carrier substrate, the surface having different radii of curvature, forming an undensified conformal insulation layer on the surface such that, on account of the different radii of curvature, regions with different mechanical stress are produced in the insulation layer, and carrying out an etching-back to remove partial regions of the insulation layer in a manner dependent on the different mechanical stress in the insulation layer.
    Type: Grant
    Filed: July 22, 2002
    Date of Patent: September 21, 2004
    Assignee: Infineon Technologies AG
    Inventor: Gerd Lichter
  • Patent number: 6794269
    Abstract: A method is provided which includes forming a deep isolation structure within a semiconductor topography. In some cases, the method may include forming a first isolation structure within a semiconductor layer and etching an opening within the isolation structure to expose the semiconductor layer. In addition, the method may include etching the semiconductor layer to form a trench extending through the isolation structure and at least part of the semiconductor layer. In some cases, the method may include removing part of a first fill layer deposited within the trench such that an upper surface of the fill layer is below an upper portion of the trench. In such an embodiment, the vacant portion of the trench may be filled with a second fill layer. In yet other embodiments, the method may include planarizing the first fill layer within the trench and subsequently oxidizing an upper portion of the fill layer.
    Type: Grant
    Filed: December 20, 2002
    Date of Patent: September 21, 2004
    Assignee: Cypress Semiconductor Corp.
    Inventors: Prabhuram Gopalan, Biju Parameshwaran, Krishnaswamy Ramkumar, Hanna Bamnolker, Sundar Narayanan
  • Patent number: 6777297
    Abstract: A disposable spacer for use in a semiconductor device fabrication process is formed of a germanium-silicon alloy. The germanium-silicon alloy may include a first portion (x) of germanium and a second portion (1-x) of silicon, wherein x is greater than about 0.2. A method of forming the disposal spacer includes providing a device structure and forming a layer of germanium-silicon alloy on the device structure. The layer is then etched to form the disposable spacer. The device structure may include a substrate and a gate structure with the disposable spacers formed at sidewalls thereof. Further, the device structure may include a substrate having an oxidation mask formed thereon with the disposable spacers formed relative to sidewalls of the oxidation mask. In addition, the method includes removing the disposable spacer by oxidizing the spacer to form volatile GexSiyO. Any unvolatilized GexSiyO may be removed using water.
    Type: Grant
    Filed: August 14, 2002
    Date of Patent: August 17, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Werner Juengling
  • Patent number: 6777307
    Abstract: A method is provided which includes planarizing structures and/or layers such that step heights of reduced and more uniform thicknesses may be formed. In particular, a method is provided which includes polishing an upper layer of a topography to expose a first underlying layer and etching away remaining portions of the first underlying layer to expose a second underlying layer. The topography may then be subsequently planarized. As such, a method for fabricating shallow trench isolation regions may include forming one or more trenches extending through a stack arranged over a semiconductor substrate. Such a method may further include blanket depositing a dielectric over the trenches and the stack of layers such that the trenches are filled by the dielectric. The dielectric may then be planarized such that upper surfaces of the dielectric remaining within the trenches are coplanar with an upper surface of an adjacent layer of the stack.
    Type: Grant
    Filed: December 4, 2001
    Date of Patent: August 17, 2004
    Assignee: Cypress Semiconductor Corp.
    Inventors: Krishnaswamy Ramkumar, Steven S. Hedayati
  • Patent number: 6773975
    Abstract: In one embodiment, a transistor is fabricated by forming gate materials, such as a gate oxide layer and a gate polysilicon layer, prior to forming a shallow trench isolation (STI) structure. Forming the gate materials early in the process minimizes exposure of the STI structure to processing steps that may expose its corners. Also, to minimize cross-diffusion of dopants and to help lower gate resistance, a metal stack comprising a barrier layer and a metal layer may be employed as a conductive line between gates. In one embodiment, the metal stack comprises a barrier layer of tungsten-nitride and a metal layer of tungsten.
    Type: Grant
    Filed: December 20, 2002
    Date of Patent: August 10, 2004
    Assignee: Cypress Semiconductor Corporation
    Inventors: Krishnaswamy Ramkumar, Sundar Narayanan, Shahin Sharifzadeh
  • Publication number: 20040121555
    Abstract: A shallow trench isolation (STI) process is described. A patterned mask layer is formed on a substrate, and then a trench is formed in the substrate with the mask layer as a mask. A portion of the mask layer around the trench is removed, and a portion of the substrate around the top portion of the trench is removed with the remaining mask layer as a mask. A liner layer is formed in the trench. The liner layer on the top portion of the trench is then removed with a pre-deposition process of an HDP-CVD process. Thereafter, an insulating material is filled into the trench, and the mask layer is removed with an etchant. In STI process, the liner layer comprises a material that can also be etched by the etchant.
    Type: Application
    Filed: December 23, 2002
    Publication date: June 24, 2004
    Inventors: YUNG-TAI HUNG, LEE-JEN CHEN
  • Patent number: 6750117
    Abstract: A shallow trench isolation (STI) process is described. A patterned mask layer is formed on a substrate, and then a trench is formed in the substrate with the mask layer as a mask. A portion of the mask layer around the trench is removed, and a portion of the substrate around the top portion of the trench is removed with the remaining mask layer as a mask. A liner layer is formed in the trench. The liner layer on the top portion of the trench is then removed with a pre-deposition process of an HDP-CVD process. Thereafter, an insulating material is filled into the trench, and the mask layer is removed with an etchant. In STI process, the liner layer comprises a material that can also be etched by the etchant.
    Type: Grant
    Filed: December 23, 2002
    Date of Patent: June 15, 2004
    Assignee: Macronix International Co., Ltd.
    Inventors: Yung-Tai Hung, Lee-Jen Chen
  • Publication number: 20040110358
    Abstract: The present invention relates to a method for forming an isolation film for semiconductor devices.
    Type: Application
    Filed: July 18, 2003
    Publication date: June 10, 2004
    Inventor: Joon Hyeon Lee
  • Publication number: 20040106267
    Abstract: An oxynitride material is used to form shallow trench isolation regions in an integrated circuit structure. The oxynitride may be used for both the trench liner and trench fill material. The oxynitride liner is formed by nitriding an initially formed oxide trench liner. The oxynitride trench fill material is formed by directly depositing a high density plasma (HDP) oxide mixture of SiH4 and O2 and adding a controlled amount of NH3 to the plasma mixture. The resultant oxynitride structure is much more resistant to trench fill erosion by wet etch, for example, yet results in minimal stress to the surrounding silicon. To further reduce stress, the nitrogen concentration may be varied by varying the proportion of O2 to NH3 in the plasma mixture so that the nitrogen concentration is maximum at the top of the fill material.
    Type: Application
    Filed: November 7, 2003
    Publication date: June 3, 2004
    Applicant: International Business Machines Corporation
    Inventors: Klaus D. Beyer, Fen F. Jamin, Patrick R. Varekamp
  • Patent number: 6737706
    Abstract: A silicon-on-insulator (SOI) device and a method for manufacturing the same includes a substrate, which includes a base layer, a buried oxide layer, and a semiconductor layer, and an isolation layer which is formed in a trench that defines an active region on the semiconductor layer. The trench comprises a first region having a depth smaller than the thickness of the semiconductor layer and a second region having a depth as much as the thickness of the semiconductor layer. The isolation layer includes an oxide layer and a nitride liner that are sequentially formed along the surface of the trench and a dielectric layer that fills the trench.
    Type: Grant
    Filed: April 2, 2002
    Date of Patent: May 18, 2004
    Assignee: Samsung Electronics Co. Ltd.
    Inventors: Tae-jung Lee, Byung-sun Kim, Myoung-hwan Oh, Seung-han Yoo, Myung-sun Shin, Sang-wook Park
  • Patent number: 6734082
    Abstract: A process for forming a shallow trench isolation (STI), structure in a semiconductor substrate, featuring a group of insulator liner layers located on the surfaces of the shallow trench shape used to accommodate the STI structure, has been developed. After defining a shallow trench shape featuring rounded corners, a group of thin insulator liner layers, each comprised of either silicon oxide or silicon nitride, is deposited on the exposed surfaces of the shallow trench shape via atomic layer depositing (ALD), procedures. A high density plasma procedure is used for deposition of silicon oxide, filling the shallow trench shape which is lined with the group of thin insulator liner layers. The silicon nitride component of the insulator liner layers, prevents diffusion or segregation of P type dopants from an adjacent P well region to the silicon oxide of the STI structure.
    Type: Grant
    Filed: August 6, 2002
    Date of Patent: May 11, 2004
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Jia Zhen Zheng, Soh Yun Siah, Chew Hoe Ang
  • Publication number: 20040082144
    Abstract: A method for forming a device isolation film of a semiconductor device, wherein an annealing process is performed on the oxide film using NH3 prior to the deposition of a liner nitride film and after the deposition of a thermal oxide film on a sidewall of a trench to nitridate the oxide film is disclosed.
    Type: Application
    Filed: June 23, 2003
    Publication date: April 29, 2004
    Inventors: Cheol Hwan Park, Dong Su Park, Tae Hyeok Lee, Sang Ho Woo
  • Patent number: 6723617
    Abstract: The present invention relates to a method of manufacturing a semiconductor device. When a trench of a STI structure is formed, a portion of a pad nitride film on an active region is removed . Thus, formation of a moat around an upper corner portion of the trench of the STI structure is prevented. Also, the upper corner portion of the trench is rounded. Therefore, a parasitic effect, degradation in gate oxide integrity, an inverse narrow effect and a sub-threshold hump phenomenon can be prevented. Further, a breakdown phenomenon, a gate bridge phenomenon and difference in the coupling ratio between gate electrodes can be prevented.
    Type: Grant
    Filed: December 6, 2002
    Date of Patent: April 20, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventor: Myung Gyu Choi
  • Patent number: 6723615
    Abstract: A highly reliable semiconductor device capable of preventing generation of a leakage current is provided. The semiconductor device comprises a silicon substrate having a main surface and including a trench formed on the main surface. The trench is defined by surfaces including a bottom surface, a side surface, continuous to the bottom surface, having first inclination with respect to the main surface, and an intermediate surface, formed between the main surface and the bottom surface, having second inclination smaller than the first inclination with respect to the main surface. The semiconductor device further comprises an n-type impurity region.
    Type: Grant
    Filed: July 19, 2002
    Date of Patent: April 20, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Shu Shimizu
  • Patent number: 6720235
    Abstract: A method of forming shallow trench isolation in a semiconductor substrate. A hard mask having an opening is formed on the semiconductor substrate. The semiconductor substrate is etched through the opening to form a shallow trench. The semiconductor substrate is annealed in an ambient containing argon gas at a temperature of about 1150 to about 1200° C. for 1 to 2 hrs. An insulator is then formed on the hard mask to fill the shallow trench. The insulator is planarized while the hard mask is used as the polishing stop layer. Thereafter, the hard mask is removed to expose the upper surface of the semiconductor substrate and leave a shallow trench isolation.
    Type: Grant
    Filed: September 10, 2002
    Date of Patent: April 13, 2004
    Assignee: Silicon Integrated System Corp.
    Inventors: Tzu-Kun Ku, Chian-Kai Huang
  • Publication number: 20040067620
    Abstract: A method of fabricating a shallow trench isolation structure includes forming outwardly of a semiconductor layer a first oxide layer. A nitride layer is formed outwardly of the first oxide layer. A second oxide layer is formed outwardly of the nitride layer. A trench is formed through the first oxide layer, the nitride layer, and the second oxide layer and into the semiconductor layer. With the second oxide layer protecting an upper surface of the nitride layer, the nitride layer is etched to form a lateral recessed side boundary of the trench at the nitride layer. The shallow trench isolation layer is formed in the trench.
    Type: Application
    Filed: October 2, 2002
    Publication date: April 8, 2004
    Inventors: Freidoon Mehrad, Zhihao Chen, Juanita Deloach
  • Patent number: 6716720
    Abstract: A method is disclosed for filling a depression between two vertically adjoining semiconductor layers, in particular an edge depression arising in the context of an isolation trench formation. A covering layer, preferably made of silicon oxide, is deposited in a large-area manner and is then doped with doping material, preferably nitrogen, essentially right over the entire depth of the layer. The doping material provides for an increased rate of removal of the covering layer, so that, after the removal process, the covering layer material only remains in the depressions.
    Type: Grant
    Filed: March 3, 2003
    Date of Patent: April 6, 2004
    Assignee: Infineon Technologies AG
    Inventors: Dirk Efferenn, Hans-Peter Moll
  • Patent number: 6716718
    Abstract: A trench is formed by performing an anisotropic etching treatment on a silicon substrate with the use of a mask pattern including a pad oxide film, a polysilicon film, and a silicon nitride film formed on the silicon substrate, as a mask. Next, the side surface of the polysilicon film is retreated by etching so that the part of an oxide film formed on the side surface of the polysilicon film may not be hung over the part of an oxide film formed on the side surface of the pad oxide film. Next, an oxide film is formed by performing a thermal oxidation treatment on the inner wall surface of the trench including the exposed side surface of the polysilicon film. This produces a semiconductor device that prevents voids from being formed in a trench isolation structure.
    Type: Grant
    Filed: October 9, 2001
    Date of Patent: April 6, 2004
    Assignees: Mitsubishi Denki Kabushiki Kaisha, Ryoden Semiconductor System Engineering Corporation
    Inventors: Hiroyuki Nagatani, Kouji Taniguchi
  • Publication number: 20040058507
    Abstract: A manufacturing method for a shallow trench isolation region with high aspect ratio. The method comprises the steps of providing a substrate with a trench therein, forming a first insulation layer on the substrate and inside the trench by high density plasma chemical vapor deposition (HDPCVD), removing the majority of the first insulation layer outside the trench by spray type etching, and forming a second insulation layer on the first insulation layer by low pressure CVD to fill the trench. According to the present invention, a void-free shallow trench isolation with high aspect ration can be achieved.
    Type: Application
    Filed: April 30, 2003
    Publication date: March 25, 2004
    Applicant: Nanya Technology Corporation
    Inventors: Hsin-Jung Ho, Chang Rong Wu, Tzu En Ho
  • Publication number: 20040058509
    Abstract: A method for the fabrication of an integrated semiconductor component, in which at least one isolation trench is formed, a first layer of a nonconductive material is applied by a nonconformal deposition method, and a second layer of a nonconductive material is applied by a conformal deposition method at least to the back surface of the semiconductor component.
    Type: Application
    Filed: October 16, 2003
    Publication date: March 25, 2004
    Inventors: Hans-Peter Moll, Alexander Trueby, Andreas Wich-Glasen
  • Patent number: 6709934
    Abstract: A method for forming a gate dielectric having regions with different dielectric constants. A low-K dielectric layer is formed over a semiconductor structure. A dummy dielectric layer is formed over the low-K dielectric layer. The dummy dielectric layer and low-K dielectric layer are patterned to form an opening. The dummy dielectric layer is isontropically etched selectively to the low-K dielectric layer to form a stepped gate opening. A high-K dielectric layer is formed over the dummy dielectric and in the stepped gate opening. A gate electrode is formed on the high-K dielectric layer.
    Type: Grant
    Filed: July 16, 2002
    Date of Patent: March 23, 2004
    Assignee: Chartered Semiconductor Manufacturing Ltd
    Inventors: James Yong Meng Lee, Ying Keung Leung, Yelehanka Ramachandramurthy Pradeep, Jia Zhen Zheng, Lap Chan, Elgin Quek, Ravi Sundaresan, Yang Pan
  • Patent number: 6709951
    Abstract: An oxynitride material is used to form shallow trench isolation regions in an integrated circuit structure. The oxynitride may be used for both the trench liner and trench fill material. The oxynitride liner is formed by nitriding an initially formed oxide trench liner. The oxynitride trench fill material is formed by directly depositing a high density plasma (HDP) oxide mixture of SiH4 and O2 and adding a controlled amount of NH3 to the plasma mixture. The resultant oxynitride structure is much more resistant to trench fill erosion by wet etch, for example, yet results in minimal stress to the surrounding silicon. To further reduce stress, the nitrogen concentration may be varied by varying the proportion of O2 to NH3 in the plasma mixture so that the nitrogen concentration is maximum at the top of the fill material.
    Type: Grant
    Filed: September 18, 2002
    Date of Patent: March 23, 2004
    Assignee: International Business Machines Corporation
    Inventors: Klaus D. Beyer, Fen F. Jamin, Patrick R. Varekamp
  • Patent number: 6703270
    Abstract: A method of manufacturing a semiconductor device comprises the steps of: forming a patterned masking layer (3) of insulating material at a surface (2) of a semiconductor body (1), etching the semiconductor body (1) through the patterned masking layer (3) so as to form a trench (8) in the semiconductor body (1), applying an insulating layer (10) which fills the trench (8) in the semiconductor body (1), the insulating layer (10) exhibiting a trough (11) above the trench (8), which trough (11) has a bottom area (12) lying substantially above the surface (2) of the semiconductor body (1), subjecting the semiconductor body (1) to a planarizing treatment so as to form a substantially planar surface (15), subjecting the semiconductor body (1) to a further treatment so as to expose the semiconductor body (1) and form a field isolating region (17), characterized in that the insulating layer (10) is removed substantially to the bottom area (12) of the trough (11) by means of chemical mechanical polishing using fi
    Type: Grant
    Filed: July 17, 2001
    Date of Patent: March 9, 2004
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Dirk Maarten Knotter, Peter Van Der Velden
  • Publication number: 20040043581
    Abstract: A method of forming an isolation trench for an integrated circuit on a semiconductor substrate includes providing a semiconductor substrate having a pad oxide layer, a nitride layer, and a patterned photoresist layer, and removing portions of the nitride layer, pad oxide layer, and semiconductor substrate to form a trench. After the trench is formed, the patterned photoresist is removed and a first fill layer is formed inside of the trench. The first fill layer is then etched back using a wet spin etch, and a second fill layer is subsequently formed over the first fill layer.
    Type: Application
    Filed: September 4, 2002
    Publication date: March 4, 2004
    Inventors: Chin-Hsiang Lin, Lee-Jen Chen
  • Patent number: 6696349
    Abstract: A semiconductor device is provided having at least two neighboring transistors and an STI region therebetween. The STI region is provided with a voltage bias to minimize subthreshold leakage current between the neighboring transistors. A method of fabricating such a semiconductor device is also provided.
    Type: Grant
    Filed: November 13, 2001
    Date of Patent: February 24, 2004
    Assignee: Infineon Technologies Richmond LP
    Inventors: Joerg Vollrath, Robert Petter
  • Patent number: 6686279
    Abstract: A method and apparatus for reducing gouging during via formation. In one embodiment, the present invention is comprised of a method which includes forming an opening into a substrate. The opening is formed extending into the substrate and terminating on at least a portion of a target to which it is desired to form an electrical connection. After the formation of the opening, the present embodiment lines the opening with a liner material. In this embodiment, the liner material is adapted to at least partially fill a portion of the opening which is not landed on the target. The liner material of the present embodiment prevents substantial further etching of the substrate conventionally caused by the opening being at least partially unlanded on the target. Next, the present embodiment subjects the liner material to an etching process such that the liner material is substantially removed from that region of the target where the opening was landed on the target.
    Type: Grant
    Filed: April 1, 2002
    Date of Patent: February 3, 2004
    Assignee: Chartered Semiconductor Manufacturing Limited
    Inventors: Daniel Yen, Wei Hua Cheng, Yakub Aliyu, Lee Yuan Ping
  • Publication number: 20040018697
    Abstract: A method and structure for interconnection fabrication by using dielectric anti-reflection coating to improve the photolithographic process. The device's structure comprises a substrate with a Cu or Cu-based alloy formed therein. After planarizing the device, a thin barrier dielectric layer is formed on the substrate. A dielectric anti-reflection coating (DARC) layer is then formed on the barrier dielectric layer. Next, another inter-layer dielectric is formed on the anti-reflective coating layer and a subsequent photoresist layer is formed on the inter-reflection coating layer and patterned by using the underlying DARC layer to reduce the light reflection. By using the structure and method of the present invention, it is possible to decrease the process steps and increase the precision of the photolithographic process.
    Type: Application
    Filed: July 26, 2002
    Publication date: January 29, 2004
    Inventor: Henry Wei-Ming Chung
  • Patent number: 6670689
    Abstract: A semiconductor device having a shallow trench isolation (STI) structure, which reduces leakage current between adjacent P-FETs, and a manufacturing method thereof. The device comprises a semiconductor substrate having first and second trenches, the first trench being formed in a cell area; a first sidewall oxide layer formed on inner surfaces of the first and second trenches; a second sidewall oxide layer formed on a surface of the first sidewall oxide layer in the second trench; a first relief liner formed on the first sidewall oxide layer in the first trench; a second relief liner formed on the first relief liner in the first trench, and also formed on the second sidewall oxide layer in the second trench; and a dielectric material formed within the first and second trenches.
    Type: Grant
    Filed: November 21, 2001
    Date of Patent: December 30, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Chul Oh, Jun-Yong Roh
  • Patent number: 6645825
    Abstract: An improved and new process for fabricating a planarized structure of shallow trench isolation (STI) embedded in a silicon substrate has been developed. The planarizing method comprises a two-step CMP process in which the first CMP step comprises chemical-mechanical polishing of silicon oxide using a first polishing slurry which is selective to silicon oxide. The time of the second CMP step is determined by selecting an overpolish thickness based on the percentage of substrate area occupied by the trench. High manufacturing yield and superior planarity for silicon oxide STI are achieved.
    Type: Grant
    Filed: July 12, 2000
    Date of Patent: November 11, 2003
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chin Kun Lan, Ting Chun Wang, Tong-Hua Kuan, Ying-Lang Wang
  • Publication number: 20030203596
    Abstract: A manufacturing method of a high aspect ratio shallow trench isolation region. A substrate with a trench therein is provided and placed into a chamber. A first insulation layer is formed on the substrate as well as inside the trench by high density plasma chemical vapor deposition. The majority of the first insulation layer outside the trench is removed by in situ etching using carbon fluoride as an etching gas with high selectivity for SiO2/SiN etching ratio, and a second insulation layer is formed on the first insulation layer by high density plasma chemical vapor deposition, filling the trench. According to the present invention, a high aspect ratio shallow trench isolation region without voids can thus be achieved.
    Type: Application
    Filed: October 23, 2002
    Publication date: October 30, 2003
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Tzu-En Ho, Chang Rong Wu, Hsin-Jung Ho
  • Publication number: 20030199151
    Abstract: A method for fabricating STI for semiconductor device. The method includes the following steps. A trench is formed on the semiconductor substrate, a liner oxide is formed on the bottom and sidewall of the trench, and then a liner nitride is formed on the liner oxide. The first oxide layer is deposited in the trench by high density plasma chemical vapor deposition. The first oxide layer is spray-etched to a predetermined depth, wherein the recipe of the spray etching solution is HF/H2SO4=0.3˜0.4. A second oxide layer is deposited to fill the trench by high density plasma chemical vapor deposition to form a shallow trench isolation structure.
    Type: Application
    Filed: October 9, 2002
    Publication date: October 23, 2003
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Tzu-En Ho, Chang Rong Wu, Yi-Nan Chen
  • Publication number: 20030181022
    Abstract: A method of improving shallow trench isolation (STI) gap fill and moat nitride pull back is provided by after the steps of growing a pad oxide, depositing a nitride layer on the pad oxide and the steps of moat patterning, moat etching and moat clean, the steps of growing thermal oxide, deglazing a part of a part of the moat nitride; depositing a thin nitride liner, etching the nitride to form a thin side wall nitride in the STI trench; and performing an oxide Hydroflouric (HF) acid deglazing before STI liner oxidating and depositing oxide to fill the trench.
    Type: Application
    Filed: February 28, 2003
    Publication date: September 25, 2003
    Inventors: Freidoon Mehrad, Zhihao Chen, Majid M. Mansoori
  • Patent number: 6617096
    Abstract: A method of producing an integrated circuit configuration where trenches are formed surrounding active regions in a main surface of a semiconductor substrate. A photoresist layer is applied to the insulating layer and structured forming a mask using a data processing device, by the following steps: Providing an idealized pattern representing trenches with contours corresponding to contours of the trenches. Producing an idealized mask pattern on the basis of the idealized pattern shifted by an allowance in comparison with the idealized pattern, the idealized mask pattern has surface zones whose distance apart is shorter than a given minimum measurement. The idealized mask pattern is used to produce a further idealized mask pattern in which the surface zones are replaced by minimum surface elements with length measurements which are greater than the given minimum measurement. The trenches are then filled by depositing an insulating layer using the formed mask.
    Type: Grant
    Filed: October 12, 2001
    Date of Patent: September 9, 2003
    Assignee: Siemens Aktiengesellschaft
    Inventor: Ludwig Burkhard
  • Patent number: 6613646
    Abstract: Shallow trench isolation techniques are disclosed in which a thin nitride layer is formed on a semiconductor substrate, and a trench is formed through the nitride layer and into the semiconductor substrate, which is then filled. The wafer is then planarized using a fixed-abrasive CMP process to mitigate or avoid step height in the shallow trench isolation process. The nitride layer is then removed following planarization.
    Type: Grant
    Filed: March 25, 2002
    Date of Patent: September 2, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kashmir Sahota, Krishnashree Achuthan
  • Publication number: 20030139051
    Abstract: A method for making a semiconductor device is described. That method comprises forming a carbon doped oxide containing layer and a dielectric layer on a substrate, such that at least part of the dielectric layer is located above at least part of the carbon doped oxide containing layer. A chemical mechanical polishing process is then applied to remove the part of the dielectric layer that is located above the part of the carbon doped oxide containing layer, such that it removes the dielectric layer at a significantly faster rate than it can remove the carbon doped oxide containing layer.
    Type: Application
    Filed: January 29, 2003
    Publication date: July 24, 2003
    Inventors: Ebrahim Andideh, Clark Cummins
  • Patent number: 6596607
    Abstract: A method of forming a trench type isolation layer is provide, wherein the method comprises: forming a trench by etching after forming a trench etching pattern on a substrate; forming a silicon nitride liner on an inner wall of the trench; filling the trench with a first buried oxide layer; exposing an upper part of the liner of the trench by recessing the first buried oxide layer using a wet process; removing the upper part of the silicon nitride liner using isotropic etching; and filling the recessed space of the trench with a second buried oxide layer. The method may further comprise: forming the trench etching pattern by depositing and patterning a silicon nitride layer, and forming a thermal oxide layer, preferably through annealing, for healing etching defects on an inner wall of the trench, between forming the trench and forming the liner.
    Type: Grant
    Filed: September 14, 2001
    Date of Patent: July 22, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Dong-Ho Ahn