Including Multiple Implantation Steps Patents (Class 438/527)
  • Patent number: 7825016
    Abstract: In a method for fabricating a semiconductor element in a substrate, micro-cavities are formed in the substrate. Furthermore, doping atoms are implanted into the substrate, whereby crystal defects are produced in the substrate. The substrate is heated, so that at least some of the crystal defects are eliminated using the micro-cavities, and the semiconductor element is formed using the doping atoms.
    Type: Grant
    Filed: November 14, 2006
    Date of Patent: November 2, 2010
    Assignee: Infineon Technologies AG
    Inventor: Luis-Felipe Giles
  • Publication number: 20100273322
    Abstract: A technique for conformal processing of a substrate having a non-planar surface is disclosed. The technique includes several stages. In a first stage, some surfaces of the substrate are effectively processed. During a second stage, these surfaces are treated to limit or eliminate further processing of these surfaces. During a third stage, other surfaces of the substrate are processed. In some applications, the surfaces that are perpendicular, or substantially perpendicular to the flow of particles are processed in the first and second stages, while other surfaces are processed in the third stage. In some embodiments, the second stage includes the deposition of a film on the substrate.
    Type: Application
    Filed: April 22, 2010
    Publication date: October 28, 2010
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: George D. Papasouliotis, Vikram Singh, Heyun Yin
  • Publication number: 20100261319
    Abstract: A method for generating n-type carriers in a semiconductor is disclosed. The method includes supplying a semiconductor having an atomic radius. Implanting an n-type dopant species into the semiconductor, which n-type dopant species has a dopant atomic radius. Implanting a compensating species into the semiconductor, which compensating species has a compensating atomic radius. Selecting the n-type dopant species and the compensating species in such manner that the size of the semiconductor atomic radius is inbetween the dopant atomic radius and the compensating atomic radius. A further method is disclosed for generating n-type carriers in germanium (Ge). The method includes setting a target concentration for the carriers, implanting a dose of an n-type dopant species into the Ge, and selecting the dose to correspond to a fraction of the target carrier concentration. Thermal annealing the Ge in such manner as to activate the n-type dopant species and to repair a least a portion of the implantation damage.
    Type: Application
    Filed: April 8, 2009
    Publication date: October 14, 2010
    Applicant: International Business Machines Corporation
    Inventors: Jee Hwan Kim, Stephen W. Bedell, Siegfried Maurer, Devendra K. Sadana
  • Publication number: 20100240201
    Abstract: A first species and a second species are implanted into a conductor of a substrate, which may be copper. The first species and second species may be implanted sequentially or at least partly simultaneously. Diffusion of the first species within the conductor of the substrate is prevented by the presence of the second species. In one particular example, the first species is silicon and the second species is nitrogen, although other combinations are possible.
    Type: Application
    Filed: April 14, 2010
    Publication date: September 23, 2010
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATE,INC.
    Inventors: Heyun YIN, George D. Papasouliotis, Vikram Singh
  • Publication number: 20100233871
    Abstract: A method for fabricating an integrated circuit device is disclosed. The method includes providing a substrate; forming a first hard mask layer over the substrate; patterning the first hard mask layer to form one or more first openings having a first critical dimension; performing a first implantation process on the substrate; forming a second hard mask layer over the first hard mask layer to form one or more second openings having a second critical dimension; and performing a second implantation process.
    Type: Application
    Filed: March 16, 2009
    Publication date: September 16, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chun-Chieh Chuang, Dun-Nian Yaung, Jen-Cheng Liu, Jeng-Shyan Lin, Wen-De Wang
  • Patent number: 7795085
    Abstract: Methods are disclosed for forming an SRAM cell having symmetrically implanted active regions and reduced cross-diffusion therein. One method comprises patterning a resist layer overlying a semiconductor substrate to form resist structures about symmetrically located on opposite sides of active regions of the cell, implanting one or more dopant species using a first implant using the resist structures as an implant mask, rotating the semiconductor substrate relative to the first implant by about 180 degrees, and implanting one or more dopant species into the semiconductor substrate with a second implant using the resist structures as an implant mask. A method of performing a symmetric angle implant is also disclosed to provide reduced cross-diffusion within the cell, comprising patterning equally spaced resist structures on opposite sides of the active regions of the cell to equally shadow laterally opposed first and second angled implants.
    Type: Grant
    Filed: June 12, 2006
    Date of Patent: September 14, 2010
  • Patent number: 7790537
    Abstract: By introducing additional strain-inducing mechanisms on the basis of stress memorization techniques, the performance of NMOS transistors may be significantly increased, thereby reducing the imbalance between PMOS transistors and NMOS transistors. By amorphizing and re-crystallizing the respective material in the presence of a mask layer at various stages of the manufacturing process, a drive current improvement of up to approximately 27% has been observed, with the potential for further performance gain.
    Type: Grant
    Filed: November 9, 2007
    Date of Patent: September 7, 2010
    Assignee: Globalfoundries Inc.
    Inventors: Andy Wei, Anthony Mowry, Andreas Gehring, Maciej Wiatr
  • Patent number: 7786000
    Abstract: An anti-fuse one-time-programmable (OTP) nonvolatile memory cell has a P well substrate with two P.sup.? doped regions. Another N.sup.+ doped region, functioning as a bit line, is positioned adjacent and between the two P.sup.? doped regions on the substrate. An anti-fuse is defined over the N.sup.+ doped region. Two insulator regions are deposited over the two P.sup.? doped regions. An impurity doped polysilicon layer is defined over the two insulator regions and the anti-fuse. A polycide layer is defined over the impurity doped polysilicon layer. The polycide layer and the polysilicon layer function as a word line. A programmed region, i.e., a link, functioning as a diode, is formed on the anti-fuse after the anti-fuse OTP nonvolatile memory cell is programmed. The array structure of anti-fuse OTP nonvolatile memory cells and methods for programming, reading, and fabricating such a cell are also disclosed.
    Type: Grant
    Filed: September 10, 2009
    Date of Patent: August 31, 2010
    Assignee: Macronix International Co., Ltd.
    Inventor: Hsiang-Lan Lung
  • Patent number: 7781289
    Abstract: A non-volatile memory cell includes a program transistor and a control capacitor. A portion of a substrate associated with the program transistor is exposed to multiple implantations (such as DNW, HiNWell, HiPWell, and P-well implantations). Similarly, a portion of the substrate associated with the control capacitor is exposed to multiple implantations (such as DNW, HiNWell, HiPWell, P-well, and N-well implantations). These portions of the substrate may have faster oxidation rates than other portions of the substrate, allowing a thicker front-end gate oxide to be formed over these portions of the substrate. In addition, a rapid thermal process anneal can be performed, which may reduce defects in the front-end gate oxide and increase its quality without having much impact on the oxide over the other portions of the substrate.
    Type: Grant
    Filed: May 3, 2007
    Date of Patent: August 24, 2010
    Assignee: National Semiconductor Corporation
    Inventors: Thanas Budri, Jiankang Bu
  • Publication number: 20100208517
    Abstract: A memory architecture that employs one or more semiconductor PIN diodes is provided. The memory employs a substrate that includes a buried bit/word line and a PIN diode. The PIN diode includes a non-intrinsic semiconductor region, a portion of the bit/word line, and an intrinsic semiconductor region positioned between the non-intrinsic region and the portion of the bit/word line.
    Type: Application
    Filed: February 13, 2009
    Publication date: August 19, 2010
    Applicant: Spansion LLC
    Inventors: Wai Lo, Christie Marrian, Tzu-Ning Fang, Sameer Haddad
  • Patent number: 7776726
    Abstract: Semiconductor devices and methods of manufacture thereof are disclosed. A preferred embodiment includes providing a workpiece having a first orientation and at least one second orientation. The semiconductor device is implanted with a dopant species using a first implantation process in the first orientation of the workpiece. The semiconductor device is implanted with the dopant species using a second implantation process in the at least one second orientation of the workpiece, wherein the second implantation process is different than the first implantation process.
    Type: Grant
    Filed: May 4, 2006
    Date of Patent: August 17, 2010
    Assignee: Infineon Technologies AG
    Inventors: Thomas Schiml, Manfred Eller
  • Patent number: 7772099
    Abstract: A method for manufacturing a semiconductor device includes the step of depositing a doped silicon layer doped with a first-conductivity-type dopant and a non-doped silicon layer to form a layered silicon film, implanting a first-conductivity-type dopant into a portion of the layered silicon film disposed in a first region, implanting a second-conductivity-type dopant into a portion of the layered silicon film disposed in a second region, and heat treating the layered silicon film to form a first-conductivity-type silicon film in the first region and a second-conductivity-type silicon film in the second region.
    Type: Grant
    Filed: June 19, 2007
    Date of Patent: August 10, 2010
    Assignee: Elpida Memory, Inc.
    Inventor: Kanta Saino
  • Publication number: 20100197126
    Abstract: The manufacture of solar cells is simplified and cost reduced through by performing successive ion implants, without an intervening thermal cycle. In addition to reducing process time, the use of chained ion implantations may also improve the performance of the solar cell. In another embodiment, two different species are successively implanted without breaking vacuum. In another embodiment, the substrate is implanted, then flipped such that it can be and implanted on both sides before being annealed. In yet another embodiment, one or more different masks are applied and successive implantations are performed without breaking the vacuum condition, thereby reducing the process time.
    Type: Application
    Filed: April 14, 2010
    Publication date: August 5, 2010
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Nicholas BATEMAN, Atul Gupta, Paul Sullivan, Paul Murphy
  • Patent number: 7767531
    Abstract: According to some embodiments of the invention, a method of forming a transistor includes forming a device isolation layer in a semiconductor substrate. The device isolation layer is formed to define at least one active region. A channel region is formed in a predetermined portion of the active region of the semiconductor substrate. Two channel portion holes are formed to extend downward from a main surface of the semiconductor substrate to be in contact with the channel region. Gate patterns fill the channel portion holes and cross the active region. The resulting transistor is capable of ensuring a constant threshold voltage without being affected by an alignment state of the channel portion hole and the gate pattern.
    Type: Grant
    Filed: April 3, 2009
    Date of Patent: August 3, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Soo-Ho Shin, Jin-Woo Lee, Eun-Cheol Lee
  • Patent number: 7763553
    Abstract: An auxiliary heating process is performed to set the temperature of the outer peripheral portion of a semiconductor substrate higher than that of the central portion thereof by use of an auxiliary heating source which supplementally heats a region of an area smaller than the area of the main surface of the semiconductor substrate from the rear surface of the main surface thereof, pulse-like flash lamp light or laser light is applied in the auxiliary heated state and the heat treatment is performed by use of the applied energy. The flash lamp light is applied to the main surface of the semiconductor substrate in a pulse form of 0.1 ms to 100 ms.
    Type: Grant
    Filed: February 5, 2008
    Date of Patent: July 27, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takayuki Ito, Kyoichi Suguro
  • Publication number: 20100181653
    Abstract: The invention relates to a method for recycling a substrate with a step-like residue in a first region of its surface, in particular along the edge of the substrate, which protrudes with respect to the surface of a remaining second region of the substrate, and wherein the first region comprises a modified zone, in particular an ion implanted zone, essentially in a plane corresponding to the plane of the surface of the remaining second region of the substrate and/or chamfered towards the edge of the substrate. To prevent the negative impact of contaminants in subsequent laminated wafer fabricating processes, the recycling method comprises a material removal step which is carried out such that the surface of the substrate in the first region is lying lower than the level of the modified zone before the material removal.
    Type: Application
    Filed: June 24, 2008
    Publication date: July 22, 2010
    Applicant: S.O.I.TEC SILICON ON INSULATOR TECHNOLOGIES, S.A.
    Inventors: Cecile Aulnette, Khalid Radouane
  • Patent number: 7759260
    Abstract: A method of fabricating a semiconductor structure. The method includes forming a first feature of a first active device and a second feature of a second active device, introducing a first amount of nitrogen into the first feature of the first active device, and introducing a second amount of nitrogen into the second feature of the second active device, the second amount of nitrogen being different from the first amount of nitrogen.
    Type: Grant
    Filed: August 16, 2006
    Date of Patent: July 20, 2010
    Assignee: International Business Machines Corporation
    Inventors: Jay S Burnham, John J Ellis-Monaghan, James S Nakos, James J Quinlivan
  • Patent number: 7749874
    Abstract: A CMOS image sensor includes a pinned photodiode and a transfer gate that are formed using a thick mask that is self-aligned to at least one edge of the polysilicon gate structure to facilitate both the formation of a deep implant and to provide proper alignment between the photodiode implant and the gate. In one embodiment a drain side implant is formed concurrently with the deep n-type implant of the photodiode. After the deep implant, the mask is removed and a shallow p+ implant is formed to complete the photodiode. In another embodiment, the polysilicon is etched to define only a drain side edge, a shallow drain side implant is performed, and then a thick mask is provided and used to complete the gate structure, and is retained during the subsequent high energy implant. Alternatively, the high energy implant is performed prior to the shallow drain side implant.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: July 6, 2010
    Assignee: Tower Semiconductor Ltd.
    Inventors: Clifford I. Drowley, David Cohen, Assaf Lahav, Shai Kfir, Naor Inbar, Anatoly Sergienko, Vladimir Korobov
  • Patent number: 7749851
    Abstract: According to the present invention, there is provided a semiconductor device including a first conductive type semiconductor substrate, a gate electrode formed over the semiconductor substrate via a gate insulator, a first conductive impurity region buried in the semiconductor substrate, the first conductive impurity region being both sides of an extend plane, the extend plane being extended from side-walls of the gate electrode into the semiconductor substrate and a second conductive type source/drain region partially overlapping with the first conductive impurity region and extending from an end of the gate electrode at the semiconductor substrate to an outer region in the semiconductor substrate, wherein a first conductive impurity concentration at a prescribed depth in the overlapping portion between the first conductive impurity region and the source/drain region is lower than the first conductive impurity concentration in the first conductive impurity region except the overlapping portion corresponding
    Type: Grant
    Filed: October 5, 2007
    Date of Patent: July 6, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Ryota Katsumata, Hideaki Aochi, Masaru Kidoh, Masaru Kito
  • Patent number: 7745295
    Abstract: Some embodiments include methods of forming memory cells. Dopant is implanted into a semiconductor substrate to form a pair of source/drain regions that are spaced from one another by a channel region. The dopant is annealed within the source/drain regions, and then a plurality of charge trapping units are formed over the channel region. Dielectric material is then formed over the charge trapping units, and control gate material is formed over the dielectric material. Some embodiments include memory cells that contain a plurality of nanosized islands of charge trapping material over a channel region, with adjacent islands being spaced from one another by gaps. The memory cells can further include dielectric material over and between the nanosized islands, with the dielectric material forming a container shape having an upwardly opening trough therein. The memory cells can further include control gate material within the trough.
    Type: Grant
    Filed: November 26, 2007
    Date of Patent: June 29, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Hussein I. Hanafi
  • Publication number: 20100155829
    Abstract: A device for protecting a semiconductor device from electrostatic discharge may include a high voltage first conductivity type well formed in a semiconductor substrate. A first stack region may have a first conductivity type drift region, and a first conductivity type impurity region stacked in succession in the high voltage first conductivity type well. A second stack region may have a second conductivity type drift region, and a second conductivity type impurity region stacked in succession in the high voltage first conductivity type well. A device isolating film formed between the first stack region and the second stack region for isolating the first stack region from the second stack region.
    Type: Application
    Filed: December 4, 2009
    Publication date: June 24, 2010
    Inventor: Joon-Tae Jang
  • Publication number: 20100159682
    Abstract: A method includes forming a photoresist pattern over a certain portion of a material layer to expose an ion implantation region, implanting impurities in the ion implantation region of the material layer using the photoresist pattern as an ion implantation barrier, and removing the photoresist pattern using plasma of a gas mixture including a hydrocarbon-based gas.
    Type: Application
    Filed: February 17, 2010
    Publication date: June 24, 2010
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Tae-Woo JUNG
  • Publication number: 20100155817
    Abstract: Memory devices having an increased effective channel length and/or improved TPD characteristics, and methods of making the memory devices are provided. The memory devices contain two or more memory cells on a semiconductor substrate and bit line dielectrics between the memory cells. The memory cell contains a charge trapping dielectric stack, a poly gate, a pair of pocket implant regions, and a pair of bit lines. The bit line can be formed by an implant process at a higher energy level and/or a higher concentration of dopants without suffering device short channel roll off issues because spacers at bit line sidewalls constrain the implant in narrower implant regions.
    Type: Application
    Filed: December 22, 2008
    Publication date: June 24, 2010
    Applicant: SPANSION LLC
    Inventors: Ning Cheng, Huaqiang Wu, Hiro Kinoshita, Jihwan Choi, Angela Hui
  • Publication number: 20100159681
    Abstract: An ion implantation method according to the present invention is provided, to selectively implant ions in a semiconductor area, which is a semiconductor substrate or a semiconductor layer formed on the semiconductor substrate, using an ion implantation mask, the method including the steps of: forming the ion implantation mask by exposing and developing of a photosensitive material film, in such a manner that the ion implantation mask includes a mask opening and a mask thin film section; and implanting ions using the ion implantation mask as a mask to form a plurality of diffusion layers with different diffusion depths in the semiconductor area corresponding to the mask opening section and the mask thin film section.
    Type: Application
    Filed: December 18, 2009
    Publication date: June 24, 2010
    Applicant: Sharp Kabushiki Kaisha
    Inventor: Naoto Tsumura
  • Patent number: 7741217
    Abstract: A CMOS diode and method of making it are disclosed. In one embodiment, the diode comprises a silicon substrate having an N doped region and a P doped region. A first silicide region is formed on the N doped region of the silicon substrate, and a second silicide region formed on the P doped region of the silicon substrate. The first silicide region is comprised of a material having a bandgap value lower than the bandgap value of the material comprising the second silicide region. The result is a diode where the workfunction of each region of silicide more closely matches the workfunction of the doped silicon it contacts, resulting in reduced contact resistance. This provides for a diode with improved performance characteristics.
    Type: Grant
    Filed: October 25, 2007
    Date of Patent: June 22, 2010
    Assignee: International Business Machines Corporation
    Inventors: Haining S. Yang, Xiangdong Chen
  • Publication number: 20100148125
    Abstract: A method is provided of forming a semiconductor device. A substrate is provided having a dielectric layer formed thereover. The dielectric layer covers a protected region of the substrate, and has a first opening exposing a first unprotected region of the substrate. A first dopant is implanted into the first unprotected region through the first opening in the dielectric layer, and into the protected region through the dielectric layer.
    Type: Application
    Filed: December 16, 2008
    Publication date: June 17, 2010
    Applicant: Texas Instruments Incorporated
    Inventors: Seetharaman Sridar, Marie Denison, Sameer Pendharkar
  • Patent number: 7737013
    Abstract: A first species and a second species are implanted into a conductor of a substrate, which may be copper. The first species and second species may be implanted sequentially or at least partly simultaneously. Diffusion of the first species within the conductor of the substrate is prevented by the presence of the second species. In one particular example, the first species is silicon and the second species is nitrogen, although other combinations are possible.
    Type: Grant
    Filed: October 21, 2008
    Date of Patent: June 15, 2010
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Heyun Yin, George D. Papasouliotis, Vikram Singh
  • Patent number: 7736984
    Abstract: In one embodiment, silicide layers are formed on two oppositely doped adjacent semiconductor regions. A conductor material is formed electrically contacting both of the two silicides.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: June 15, 2010
    Assignee: Semiconductor Components Industries, LLC
    Inventors: Gordon M. Grivna, Prasad Venkatraman
  • Patent number: 7727867
    Abstract: A MLD-SIMOX wafer is obtained by forming a first ion-implanted layer in a silicon wafer; forming a second ion-implanted layer that is in an amorphous state; and subjecting the wafer to a high-temperature heat treatment to maintain the wafer in an atmosphere containing oxygen at a temperature that is not lower than 1300° C. but lower than a silicon melting point to change the first and the second ion-implanted layers into a BOX layer, wherein the dose amount for the first ion-implanted layer is 1.25 to 1.5×1017 atoms/cm2, the dose amount for the second ion-implanted layer is 1.0×1014 to 1×1016 atoms/cm2, the wafer is preheated to a temperature of 50° C. to 200° C. before forming the second ion-implanted layer, and the second ion-implanted layer is formed in a state where it is continuously heated to a preheating temperature.
    Type: Grant
    Filed: February 21, 2007
    Date of Patent: June 1, 2010
    Assignee: Sumco Corporation
    Inventors: Yoshiro Aoki, Bong-Gyun Ko
  • Patent number: 7713825
    Abstract: Exemplary embodiments provide manufacturing methods for forming a doped region in a semiconductor. Specifically, the doped region can be formed by multiple ion implantation processes using a patterned photoresist (PR) layer as a mask. The patterned PR layer can be formed using a hard-bakeless photolithography process by removing a hard-bake step to improve the profile of the patterned PR layer. The multiple ion implantation processes can be performed in a sequence of, implanting a first dopant species using a high energy; implanting the first dopant species using a reduced energy and an increased implant angle (e.g., about 9° or higher); and implanting a second dopant species using a reduced energy. In various embodiments, the doped region can be used as a double diffused region for LDMOS transistors.
    Type: Grant
    Filed: May 25, 2007
    Date of Patent: May 11, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Binghua Hu, Sameer P. Pendharkar, Bill A. Wofford, Qingfeng Wang
  • Patent number: 7713853
    Abstract: A method for manufacturing electronic devices on a semiconductor substrate with wide band gap that includes the steps of: forming a screening structure on the semiconductor substrate to include at least a dielectric layer that leaves a plurality of areas of the semiconductor substrate exposed, carrying out at least a ion implantation of a first type of dopant in the semiconductor substrate to form at least a first implanted region, carrying out at least a ion implantation of a second type of dopant in the semiconductor substrate to form at least a second implanted region inside the at least a first implanted region, carrying out an activation thermal process of the first type and second type of dopant with low thermal temperature suitable to complete the formation of the at least first and second implanted regions without diffusing the at least first and at least second type dopants in the substrate.
    Type: Grant
    Filed: January 8, 2008
    Date of Patent: May 11, 2010
    Assignee: STMicroelectronics S.r.l.
    Inventors: Ferrucio Frisina, Mario Giuseppe Saggio, Angelo Magri
  • Publication number: 20100112795
    Abstract: A first method for producing a doped region in a semiconductor substrate includes performing a first implant step in which a carborane cluster molecule is implanted into a semiconductor substrate to form a doped region. A second method for producing a semiconductor device having a shallow junction region includes providing a first gas and a second gas in a container. The first gas includes a first dopant and the second gas includes a second dopant. The second method also includes implanting the first and second dopants into a semiconductor substrate using an ion. The ion source is not turned off between the steps of implanting the first dopant and implanting the second dopant.
    Type: Application
    Filed: September 30, 2009
    Publication date: May 6, 2010
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: Robert KAIM, Jose I. ARNO, James A. DIETZ
  • Publication number: 20100102420
    Abstract: To provide a semiconductor device in which an interval between first wells can be shortened by improving a separation breakdown voltage between the first wells and a method for manufacturing the same.
    Type: Application
    Filed: October 27, 2009
    Publication date: April 29, 2010
    Applicant: NEC Electronics Corporation
    Inventors: Hidemitsu Mori, Kazuhiro Takimoto, Toshiyuki Shou, Kenji Sasaki, Yutaka Akiyama
  • Publication number: 20100099244
    Abstract: Disclosed herein is a partial implantation method for manufacturing semiconductor devices. The method involves implantation of dopant ions at different densities into a plurality of wafer regions, including first and second regions, defined in a wafer by means of a boundary line. In the method, first, second and third implantation zones are defined. The first implantation zone is the remaining part of the first region except for a specific part of the first region close to the boundary line, the second implantation zone is the remaining part of the second region except for a specific part of the second region close to the boundary line, and the third implantation zone is the remaining part of the wafer except for the first and second implantation zones.
    Type: Application
    Filed: December 23, 2009
    Publication date: April 22, 2010
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Kyoung Bong Rouh, Yong Sun Sohn, Min Yong Lee
  • Patent number: 7700450
    Abstract: A method for forming a MOS transistor includes providing a substrate having at least a gate structure formed thereon, performing a pre-amorphization (PAI) process to form amorphized regions in the substrate, sequentially performing a co-implantation process, a first ion implantation process, and a first rapid thermal annealing (RTA) process to form lightly doped drains (LDDs), forming spacers on sidewalls of the gate structure, and forming a source/drain.
    Type: Grant
    Filed: October 25, 2006
    Date of Patent: April 20, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Kun-Hsien Lee, Cheng-Tung Huang, Shyh-Fann Ting, Wen-Han Hung, Li-Shian Jeng, Tzyy-Ming Cheng
  • Publication number: 20100090278
    Abstract: An isolation area (10) is provided over a drift region (12) with a spacing (d) to a contact area (4) provided for a drain connection (D). The isolation area is used as an implantation mask, in order to produce a dopant profile of the drift region in which the dopant concentration increases toward the drain. The implantation of the dopant can be performed instead before the production of the isolation area, and the later production of the isolation area (10) changes the dopant profile also in a way that the dopant concentration increases toward the drain.
    Type: Application
    Filed: October 13, 2009
    Publication date: April 15, 2010
    Applicant: Austriamicrosystems AG
    Inventor: Georg Rõhrer
  • Publication number: 20100093162
    Abstract: A method of manufacturing a semiconductor device wherein semiconductor elements (e.g., transistors) respectively formed in multiple independent wells have the same characteristics with the number of production process steps being reduced. A P-type well as an area of a first conductivity type is formed on a semiconductor substrate. Then, second and fourth wells as two regions of a second conductivity type are formed apart from each other in the P-type well, and a first buried well of N-type as a first buried region of the second conductivity type to connect the second and fourth wells is formed at the bottom of a third well (part of the area of the first conductivity type) sandwiched between the second and fourth wells. In this way, a triple well is formed on the semiconductor substrate.
    Type: Application
    Filed: September 25, 2009
    Publication date: April 15, 2010
    Applicant: OKI SEMICONDUCTOR CO., LTD.
    Inventor: Tomohiro Yakuwa
  • Publication number: 20100093163
    Abstract: The present invention provides a method for manufacturing a semiconductor device which includes a step of forming one optional impurity region in a semiconductor substrate at a place apart from the surface thereof, and in the method described above, ion implantation is performed a plurality of times while the position of an end portion of a mask pattern used for ion implantation is changed.
    Type: Application
    Filed: December 18, 2009
    Publication date: April 15, 2010
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventor: Takuji TANAKA
  • Patent number: 7687385
    Abstract: The invention provides a semiconductor device exhibiting a stable and high breakdown voltage, which is manufactured at a low manufacturing cost. The semiconductor device of the invention includes an n-type silicon substrate; a p-type base region in the surface portion of substrate; an n-type drain region in the surface portion of n-type substrate; a p-type offset region in the surface portion of n-type substrate; an n-type source region in the surface portion of p-type base region; a p-type contact region in the surface portion of p-type base region; a gate electrode above the extended portion of p-type base region extending between n-type source region and n-type substrate (or p-type offset region), with a gate insulation film interposed therebetween; an insulation film on gate electrode and p-type offset region; a source electrode on n-type source region; and a drain electrode on n-type drain region.
    Type: Grant
    Filed: March 2, 2007
    Date of Patent: March 30, 2010
    Assignee: Fuji Electric Holdings Co., Ltd.
    Inventors: Kazuo Matsuzaki, Naoto Fujishima, Akio Kitamura, Gen Tada, Masaru Saito
  • Patent number: 7682955
    Abstract: The invention provides a method for forming a deep well region of a power device, including: providing a substrate with a first sacrificial layer thereon; forming a first patterned mask layer on the first sacrificial layer exposing a first open region; performing a first doping process to the first open region to form a first sub-doped region; removing the first patterned mask layer and the first sacrificial layer; forming an epitaxial layer on the substrate; forming a second sacrificial layer on the epitaxial layer; forming a second patterned mask layer on the second sacrificial layer exposing a second open region; performing a second doping process to the second open region to form a second sub-doped region; removing the second patterned mask layer; performing an annealing process to make the first and the second sub-doped regions form a deep well region; and removing the second sacrificial layer.
    Type: Grant
    Filed: November 25, 2008
    Date of Patent: March 23, 2010
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Shanghui L. Tu, Hung-Shern Tsai, Jui-Chun Chang
  • Patent number: 7678674
    Abstract: A method of forming implants for a memory cell includes forming an oxide-nitride-oxide (ONO) stack over a substrate and implanting first impurities in the substrate adjacent each side of the ONO stack using a first implantation energy and a first tilt angle to produce first pocket implants. The method further includes implanting second impurities in the substrate adjacent each side of the ONO stack using a second implantation energy and a second tilt angle to produce second pocket implants, where the second implantation energy is substantially larger than the first implantation energy and where the second tilt angle is substantially larger than the first tilt angle.
    Type: Grant
    Filed: August 26, 2005
    Date of Patent: March 16, 2010
    Assignee: Spansion LLC
    Inventors: Shankar Sinha, Ashot Melik-Martirosian, Ihsan Djomehri
  • Publication number: 20100062589
    Abstract: Various masks for use with ion implantation equipment are disclosed. In one embodiment, the masks are formed by assembling a collection of segments and spacers to create a mask having the desired configuration. This collection of parts is held together with a carrier of frame. In another embodiment, a panel is formed by machining open-ended slots into a substrate, so as to form a comb-shaped device. Two such panels may be connected together to form a mask. In other embodiments, the panels may be used sequentially in an ion implantation process to create interdigitated back contacts. In another embodiment, multiple masks are overlaid so as to create implant patterns that cannot be created effectively using a single mask.
    Type: Application
    Filed: September 8, 2009
    Publication date: March 11, 2010
    Inventors: Steven Anella, William Weaver
  • Patent number: 7670885
    Abstract: A method of manufacturing a thin-film semiconductor device, including forming a crystallized region on a transparent insulating substrate, implanting an impurity into the crystallized region and an amorphous semiconductor layer to form a source diffusion region and a drain diffusion region in the crystallized region, subjecting the resultant structure to heat treatment, thereby not only activating the impurity implanted in the crystallized region and the amorphous semiconductor layer but also restoring crystallinity of only a portion of the amorphous semiconductor layer which is formed on the crystallized region to thereby turn the portion into a polycrystalline semiconductor layer, and subjecting the resultant surface to selective etching to thereby leave only the polycrystalline semiconductor layer and to remove the amorphous semiconductor layer formed on other regions, thereby forming, in a self-aligned manner, a stacked source diffusion layer and a stacked drain diffusion layer.
    Type: Grant
    Filed: February 5, 2009
    Date of Patent: March 2, 2010
    Assignee: Advanced LCD Technologies Development Center Co., Ltd.
    Inventor: Katsunori Mitsuhashi
  • Patent number: 7666731
    Abstract: A method of fabricating an LDMOS transistor and a conventional CMOS transistor together on a substrate. A P-body is implanted into a source region of the LDMOS transistor. A gate oxide for the conventional CMOS transistor is formed after implanting the P-body into the source region of the LDMOS transistor. A fixed thermal cycle associated with forming the gate oxide of the conventional CMOS transistor is not substantially affected by the implanting of the P-body into the source region of the LDMOS transistor.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: February 23, 2010
    Assignee: Volterra Semiconductor Corporation
    Inventors: Budong You, Marco A. Zuniga
  • Patent number: 7666747
    Abstract: A method that suppresses etching damage without increasing a chip area of a semiconductor device. An integrated circuit including a MOS transistor is formed in a device area, and a discharge diffusion region is formed in a device area, and a discharge diffusion region is formed in a grid area. The discharge diffusion region is connected to a metal wiring of the integrated circuit via a contact hole. Therefore, when the metal wiring is formed by a dry etching method, an electric charge stored in the metal wiring is discharged to a semiconductor substrate through the discharge diffusion region. Thus, etching damage of the MOS transistor is reduced. Since the discharge diffusion region and the contact hole are formed within the grid area, they are cut off by a dicing process, thus causing no increase in chip area of the semiconductor device.
    Type: Grant
    Filed: August 25, 2006
    Date of Patent: February 23, 2010
    Assignee: Oki Semiconductor Co., Ltd.
    Inventors: Keisuke Oosawa, Hideyuki Ando
  • Patent number: 7662705
    Abstract: Disclosed herein is a partial implantation method for manufacturing semiconductor devices. The method involves implantation of dopant ions at different densities into a plurality of wafer regions, including first and second regions, defined in a wafer by means of a boundary line. In the method, first, second and third implantation zones are defined. The first implantation zone is the remaining part of the first region except for a specific part of the first region close to the boundary line, the second implantation zone is the remaining part of the second region except for a specific part of the second region close to the boundary line, and the third implantation zone is the remaining part of the wafer except for the first and second implantation zones.
    Type: Grant
    Filed: August 4, 2005
    Date of Patent: February 16, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Kyoung Bong Rouh, Yong Sun Sohn, Min Yong Lee
  • Patent number: 7659177
    Abstract: Disclosed is a semiconductor device, and more particularly, a manufacturing method of a high voltage semiconductor device. The method includes: forming a semiconductor substrate having a key area for an alignment key, a low voltage area for a low voltage device, and a high voltage area for a high voltage device; forming an oxide film on the substrate; and forming an insulating film on the oxide film. After removing the insulating film, the method includes forming a plurality of shallow trench isolations (STI's) in the areas of the substrate; forming a nitride layer on the substrate and on STIs; sequentially forming a plurality of wells and drift areas by implanting an impurity ion into the high voltage area; and sequentially forming the plurality of wells and the drift areas by implanting an impurity ion into the low voltage area. A system on chip (SOC) process may thus be simplified.
    Type: Grant
    Filed: October 4, 2007
    Date of Patent: February 9, 2010
    Assignee: Dongku Hitek Co., Ltd.
    Inventor: Yong Keon Choi
  • Patent number: 7659188
    Abstract: The present invention provides a method for manufacturing a semiconductor device which includes a step of forming one optional impurity region in a semiconductor substrate at a place apart from the surface thereof, and in the method described above, ion implantation is performed a plurality of times while the position of an end portion of a mask pattern used for ion implantation is changed.
    Type: Grant
    Filed: April 6, 2006
    Date of Patent: February 9, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Takuji Tanaka
  • Publication number: 20100025770
    Abstract: By providing a gate dielectric material of increased thickness for P-channel transistors compared to N-channel transistors, degradation mechanisms, such as negative bias threshold voltage instability, hot carrier injection and the like, may be reduced. Due to the enhanced reliability of the P-channel transistors, overall production yield for a specified quality category may be increased, due to the possibility of selecting narrower guard bands for the semiconductor device under consideration.
    Type: Application
    Filed: May 15, 2009
    Publication date: February 4, 2010
    Inventors: Martin Trentzsch, Karsten Wieczorek, Edward Ehrichs
  • Patent number: 7649234
    Abstract: An embodiment of a semiconductor device includes a gate electrode overlying a substrate and a lightly doped epitaxial layer formed on the substrate. A high energy implant region forms a well in a source side of the lightly doped epitaxial layer. A self-aligned halo implant region is formed on a source side of the device and within the high energy well implant. An implant region on a drain side of the lightly doped epitaxial layer forms a gate overlapped LDD (GOLD). A doped region within the halo implant region forms a source. A doped region within the gate overlapped LDD (GOLD) forms a drain.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: January 19, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Hongning Yang, Jiang-Kai Zuo