Including Organic Insulating Material Between Metal Levels Patents (Class 438/623)
  • Patent number: 7084065
    Abstract: A method for fabricating a semiconductor device that prevents the formation of a side etch caused by fluoride (CFx) produced when a barrier insulating film is etched. As shown in FIG. 1(G), an opening in the shape of a wiring trench is made in an interlayer dielectric. Then, as shown in FIG. 1(H), a barrier insulating film is etched. As a result, fluoride will be produced. By performing plasma etching by the use of gas which contains hydrogen atoms in the following process shown in FIG. 1(I), the fluoride is converted to a highly volatile compound, such as hydrogen fluoride, and is removed.
    Type: Grant
    Filed: November 26, 2002
    Date of Patent: August 1, 2006
    Assignee: Fujitsu Limited
    Inventor: Kenichi Higuchi
  • Patent number: 7078333
    Abstract: Method of improving adhesion of low dielectric constant films to other dielectric films and barrier metals in a damascene process are achieved. In one method, a low dielectric constant material layer is deposited on a substrate. Silicon ions are implanted into the low dielectric constant material layer. Thereafter, a TEOS-based silicon oxide layer is deposited overlying the low dielectric constant material whereby there is good adhesion between low dielectric constant material layer and the TEOS-based silicon oxide layer. In another method, a low dielectric constant material layer is deposited on a substrate. A silicon-based dielectric layer is deposited overlying the low dielectric constant material wherein the silicon-based dielectric layer is not silicon oxide whereby there is good adhesion between the low dielectric constant material layer and the silicon-based dielectric layer.
    Type: Grant
    Filed: September 16, 2004
    Date of Patent: July 18, 2006
    Inventors: Luona Goh, Simon Chooi, Siew Lok Toh, Tong Earn Tay
  • Patent number: 7078350
    Abstract: A method of etching a substrate in a plasma processing system is disclosed. The substrate has a semi-conductor layer, a first barrier layer disposed above the semi-conductor layer, a low-k layer disposed above the first barrier layer, a third hard mask layer disposed above the low-k layer; a second hard mask layer disposed above the third hard mask layer, and a first hard mask layer disposed above the second hard mask layer.
    Type: Grant
    Filed: March 19, 2004
    Date of Patent: July 18, 2006
    Assignee: Lam Research Corporation
    Inventors: Jisoo Kim, Binet Worsham, Bi-Ming Yen, Peter K. Loewenhardt
  • Patent number: 7074708
    Abstract: A method for processing a substrate including depositing a dielectric layer containing silicon, oxygen, and carbon on the substrate by chemical vapor deposition, wherein the dielectric layer has a carbon content of at least 1% by atomic weight and a dielectric constant of less than about 3, and depositing a silicon and carbon containing layer on the dielectric layer. The dielectric constant of a dielectric layer deposited by reaction of an organosilicon compound having three or more methyl groups is significantly reduced by further depositing an amorphous hydrogenated silicon carbide layer by reaction of an alkylsilane in a plasma of a relatively inert gas.
    Type: Grant
    Filed: February 27, 2004
    Date of Patent: July 11, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Frederic Gaillard, Li-Qun Xia, Tian-Hoe Lim, Ellie Yieh, Wai-Fan Yau, Shin-Puu Jeng, Kuowei Liu, Yung-Cheng Lu
  • Patent number: 7071540
    Abstract: A siloxane-based resin having a novel structure and a semiconductor interlayer insulating film using the same. The siloxane-based resins have a low dielectric constant in addition to excellent mechanical properties and are useful materials in an insulating film between interconnect layers of a semiconductor device.
    Type: Grant
    Filed: November 28, 2003
    Date of Patent: July 4, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yi Yeol Lyu, Ki Yong Song, Joon Sung Ryu, Jong Baek Seon
  • Patent number: 7071125
    Abstract: A method including introducing a precursor in the presence of a circuit substrate, and forming a film including a reaction product of the precursor on the substrate, wherein the precursor includes a molecule comprising a primary species of the film and a modifier. A method including introducing a precursor in the presence of a circuit substrate, the precursor including a primary species and a film modifier as a single source, and forming a film on the circuit substrate. An apparatus including a semiconductor substrate, and a film on a surface of the semiconductor substrate, the film including a reaction product of a precursor including a molecule comprising a primary species and a modifier.
    Type: Grant
    Filed: September 22, 2004
    Date of Patent: July 4, 2006
    Assignee: Intel Corporation
    Inventors: Michael L. McSwiney, Huey-Chiang Liou, Michael D. Goodner, Robert E. Leet, Robert P. Meagley
  • Patent number: 7067415
    Abstract: A low k interlevel dielectric layer fabrication method includes providing a substrate having integrated circuitry at least partially formed thereon. An oxide comprising interlevel dielectric layer comprising carbon and having a dielectric constant no greater than 3.5 is formed over the substrate. After forming the carbon comprising dielectric layer, it is exposed to a plasma comprising oxygen effective to reduce the dielectric constant to below what it was prior to said exposing. A low k interlevel dielectric layer fabrication method includes providing a substrate having integrated circuitry at least partially formed thereon. In a chamber, an interlevel dielectric layer comprising carbon and having a dielectric constant no greater than 3.5 is plasma enhanced chemical vapor deposited over the substrate at subatmospheric pressure.
    Type: Grant
    Filed: July 25, 2002
    Date of Patent: June 27, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Weimin Li, Zhiping Yin, William Budge
  • Patent number: 7067414
    Abstract: A low k inter-level dielectric layer fabrication method includes providing a substrate having integrated circuitry at least partially formed thereon. An oxide-comprising inter-level dielectric layer including carbon and having a dielectric constant no greater than 3.5 is formed over the substrate. After forming the dielectric layer, it is exposed to a plasma including oxygen effective to reduce the dielectric constant to below what it was prior to the exposing. A low k inter-level dielectric layer fabrication method includes providing a substrate having integrated circuitry at least patially formed thereon. In a chamber, an inter-level dielectric layer including carbon and having a dielectric constant no greater than 3.5 is plasma-enhanced chemical vapor deposited over the substrate at subatmospheric pressure.
    Type: Grant
    Filed: March 27, 2000
    Date of Patent: June 27, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Weimin Li, Zhiping Yin, William Budge
  • Patent number: 7067421
    Abstract: Structures and methods provide multilevel wiring interconnects in an integrated circuit assembly which alleviate problems associated with integrated circuit size and performance and include methods for forming multilevel wiring interconnects in an integrated circuit assembly, e.g., forming multilayer metal lines separated by a number of air gaps above a substrate. A silicide layer is formed on the multilayer metal lines, then oxidized. An insulator is deposited to fill interstices created by air gaps between the multilayer metal lines. In one embodiment, forming multilayer metal lines includes a conductor bridge level. In one embodiment, forming a silicide layer on the multilayer metal lines includes using a pyrolysis of silane at a temperature of between 300-500 degrees Celsius. In one embodiment, a metal layer is formed on the oxided silicide layer. The metal layer includes one of Aluminum, Chromium, Titanium, Zirconium and Aluminum oxide.
    Type: Grant
    Filed: November 24, 2003
    Date of Patent: June 27, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes, Jerome M. Eldridge
  • Patent number: 7067346
    Abstract: The present invention involves the formation of titanium carbonate films that exhibit improved hydrolytic stability and photosensitivity. Such films can be used in semiconductor processing to deposit titanium and titanium oxide layers on a substrate and to form patterns without the use of photoresists. Preferred titanium carboxylates are non-branched and branched carboxylates wherein the alkoxide component is an alcohol, branched titanium carboxylates wherein the alkoxide component is a diol, non-branched and branched titanium alpha hydroxy carboxylate compounds, and titanium dicarboxylate compounds.
    Type: Grant
    Filed: February 26, 2003
    Date of Patent: June 27, 2006
    Assignee: Simon Foster University
    Inventors: Ross H. Hill, Paul J. Roman, Jr., Seigi Suh, Xin Zhang
  • Patent number: 7064061
    Abstract: The process includes depositing a filling material in trenches formed in at least one layer of dielectric so as to fill open pores in the dielectric. The filling material is intended to prevent the subsequent diffusion of the interconnect metal and/or of a metal of a diffusion barrier, and may be non-porous. The filling material preferably has a low dielectric constant.
    Type: Grant
    Filed: January 17, 2002
    Date of Patent: June 20, 2006
    Assignees: STMicroelectronics SA, Commissariat a l'Energie Atomique
    Inventors: Gérard Passemard, Emmanuel Sicurani, Charles Lecornec
  • Patent number: 7060634
    Abstract: An integrated circuit is provided comprising a substrate and discrete areas of electrically insulating and electrically conductive material, wherein the electrically insulating material is a hybrid organic-inorganic material that has a density of 1.45 g/cm3 or more and a dielectric constant of 3.0 or less. The integrated circuit can be made by a method comprising: providing a substrate; forming discrete areas of electrically insulating and electrically conductive material on the substrate; wherein the electrically insulating material is deposited on the substrate followed by heating at a temperature of 350° C. or less; and wherein the electrically insulating material is a hybrid organic-inorganic material that has a density of 1.45 g/cm3 or more after densification. Also disclosed is a method for making an integrated circuit comprising performing a dual damascene method with an electrically conductive material and a dielectric, the dielectric being a directly photopatterned hybrid organic-inorganic material.
    Type: Grant
    Filed: January 17, 2003
    Date of Patent: June 13, 2006
    Assignee: Silecs Oy
    Inventors: Juha T. Rantala, Jason S. Reid, Nungavram S. Viswanathan, T. Teemu T. Tormanen
  • Patent number: 7057289
    Abstract: An interconnect structure with a plurality of low dielectric constant insulating layers acting as etch stops is disclosed. The low dielectric constant materials act as insulating layers through which trenches and vias are subsequently formed by employing a timed etching. Since the low dielectric constant materials are selected so that the etchant available for each one has only a small etch rate relative to the other low dielectric constant materials, the plurality of low dielectric constant materials act as etch stops during the fabrication of interconnect structures. This way, the etch stop layers employed in the prior art are eliminated and the number of fabrication steps is reduced.
    Type: Grant
    Filed: September 26, 2003
    Date of Patent: June 6, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Farrar
  • Patent number: 7056824
    Abstract: A method of manufacturing electronic devices containing one or more layers of materials that are sensitive to the strong chemicals used to remove cross-linked polymeric layers such as photoresists and antireflective coatings is provided. The cross-linked polymeric layers can be easily removed following etching through the use of certain removable layers disposed between the substrate and the cross-linked polymeric layers.
    Type: Grant
    Filed: December 19, 2003
    Date of Patent: June 6, 2006
    Assignee: Shipley Company, L.L.C.
    Inventor: George P. Mirth
  • Patent number: 7056821
    Abstract: A method for manufacturing a dual damascene structure, which forms a trench first, is described. The manufacturing method has following steps. First, a substrate with a plurality of semiconductor devices is provided. A first metal layer, a first etching stop layer, a dielectric layer, and a second etching stop layer are subsequently formed thereon. A trench is formed in the dielectric layer at a predetermined depth thereafter, and a sacrificial layer is filled therein and is next planarized. Then a photoresist layer is formed thereon for etching a via. Afterward the photoresist layer and the sacrificial layer are both removed. Following that, the first etching stop layer is etched through to expose the first metal layer. Finally, the via and the trench are filled with a second metal layer.
    Type: Grant
    Filed: August 17, 2004
    Date of Patent: June 6, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chin-Tien Yang, Juan-Jann Jou, Yu-Hua Lee, Chia-Hung Lai
  • Patent number: 7052621
    Abstract: A metal hardmask for use with a Dual Damascene process used in the manufacturing of semiconductor devices. The metal hardmask has advantageous translucent characteristics to facilitate alignment between levels while fabricating a semiconductor device and avoids the formation of metal oxide residue deposits. The metal hardmask comprises a first or primary layer of TiN (titanium nitride) and a second or capping layer of TaN (tantalum nitride).
    Type: Grant
    Filed: June 13, 2003
    Date of Patent: May 30, 2006
    Assignees: Infineon Technologies AG, International Business Machines Corporation
    Inventors: Kaushik Kumar, Lawrence Clevenger, Timothy Dalton, Douglas C. La Tulipe, Andy Cowley, Erdem Kaltalioglu, Jochen Schacht, Andrew H. Simon, Mark Hoinkis, Steffen K. Kaldor, Chih-Chao Yang
  • Patent number: 7052936
    Abstract: The present invention describes the use of polybenzoxazoles (PBOs) for adhesively bonding articles or materials, especially components used in the semiconductor industry, such as chips and wafers, a process for adhesively bonding materials, especially chips and wafers, chip and/or wafer stacks produced by the process, and adhesive compositions which comprise the polybenzoxazoles of the formula (I).
    Type: Grant
    Filed: July 30, 2002
    Date of Patent: May 30, 2006
    Assignee: Infineon Technologies AG
    Inventors: Andreas Walter, Recai Sezi
  • Patent number: 7049153
    Abstract: Integrated memory circuits, key components in thousands of electronic and computer products, have been made using ferroelectric materials, which offer faster write cycles and lower power requirements than some other materials. However, the present inventors have recognized, for example, that conventional techniques for working with the polymers produce polymer layers with thickness variations that compromise performance and manufacturing yield. Accordingly, the present inventors devised unique methods and structures for polymer-based ferroelectric memories. One exemplary method entails forming an insulative layer on a substrate, forming two or more first conductive structures, with at least two of the first conductive structures separated by a gap, forming a gap-filling structure within the gap, and forming a polymer-based ferroelectric layer over the gap-filling structure and the first conductive structures. In some embodiments, the gap-filling structure is a polymer, a spin-on-glass, or a flow-fill oxide.
    Type: Grant
    Filed: April 23, 2003
    Date of Patent: May 23, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Vishnu K. Agarwal, Howard E. Rhodes
  • Patent number: 7049220
    Abstract: A method of forming a cavity between metallic wirings using a polymer capable of revealing a specific heat resistant temperature and a specific heat decomposition temperature by having a specific repeating unit structure and a specific molecular weight range and of readily forming a cavity structure between metallic wirings in, for example, semiconductors. The method comprises a step of coating the surface of a first dielectric film formed on a semiconductor substrate with a cyclic olefin based addition polymer, a step of patterning the cyclic olefin based addition polymer as a void-forming polymer, a step of forming a metallic wiring in the pattern formed on the void-forming polymer, a step of forming a second dielectric film on the void-forming polymer containing a metallic wiring, and a step of removing the void-forming polymer between the multilayered wirings by heating to form a cavity between the metallic wirings.
    Type: Grant
    Filed: October 28, 2003
    Date of Patent: May 23, 2006
    Assignee: JSR Corporation
    Inventors: Takahiko Kurosawa, Kaori Shirato, Youichirou Maruyama
  • Patent number: 7045453
    Abstract: A structure incorporates very low dielectric constant (k) insulators with copper wiring to achieve high performance interconnects. The wiring is supported by a relatively durable low k dielectric such as SiLk or SiO2 and a very low k and less-robust gap fill dielectric is disposed in the remainder of the structure, so that the structure combines a durable layer for strength with a very low k dielectric for interconnect electrical performance.
    Type: Grant
    Filed: April 12, 2005
    Date of Patent: May 16, 2006
    Assignee: International Business Machines Corporation
    Inventors: Donald F. Canaperi, Timothy J. Dalton, Stephen M. Gates, Mahadevaiyer Krishnan, Satya V. Nitta, Sampath Purushothaman, Sean P. E. Smith
  • Patent number: 7045452
    Abstract: An apparatus including a contact point formed on a device layer of a circuit substrate or an interconnect layer on the substrate; a first dielectric material; and a different second polymerizable dielectric material on the substrate and separated from the device layer or the interconnect layer by the first dielectric material following polymerization, the second dielectric material comprising a glass transition temperature of at least 250° C. and a thermal decomposition temperature of at least 400° C. A method including depositing a dielectric material and thermally treating the dielectric material at a temperature greater than the thermal decomposition temperature.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: May 16, 2006
    Assignee: Intel Corporation
    Inventor: Daoqiang Lu
  • Patent number: 7045897
    Abstract: An electrical assembly which includes a circuitized substrate comprised of an organic dielectric material having a first electrically conductive pattern thereon. At least part of the dielectric layer and pattern form the first, base portion of an organic memory device, the remaining portion being a second, polymer layer formed over the part of the pattern and a second conductive circuit formed on the polymer layer. A second dielectric layer if formed over the second conductive circuit and first circuit pattern to enclose the organic memory device. The device is electrically coupled to a first electrical component through the second dielectric layer and this first electrical component is electrically coupled to a second electrical component. A method of making the electrical assembly is also provided, as is an information handling system adapted for using one or more such electrical assemblies as part thereof.
    Type: Grant
    Filed: July 28, 2004
    Date of Patent: May 16, 2006
    Assignee: Endicott Interconnect Technologies, Inc.
    Inventors: Frank D. Egitto, John M. Lauffer, How T. Lin, Voya R. Markovich, David L. Thomas
  • Patent number: 7035140
    Abstract: Embodiments of organic-polymer-based memory elements that are stable to repeated READ access operations are disclosed. Organic-polymer-based memory elements can suffer cumulative degradation that occurs over repeated READ access operations due to the introduction of electrons into the organic-polymer layer. In general, entry of electrons into the organic-polymer layer generally lags initiation of a hole current within the organic-polymer layer following application of a voltage potential across the memory elements. Therefore, stable memory elements can be fabricated by introducing electron-blocking layers and/or limiting the duration of applied voltages during READ access operations.
    Type: Grant
    Filed: January 16, 2004
    Date of Patent: April 25, 2006
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Warren B. Jackson, Sven Moller
  • Patent number: 7026234
    Abstract: A parasitic capacitance-preventing dummy solder bump structure on a substrate has at least one conductive layer formed on the substrate, a dielectric layer employed to cover the conductive layer, an under bump metallurgy layer (UBM layer) formed on the dielectric layer, and a solder bump formed on the UBM layer.
    Type: Grant
    Filed: June 8, 2004
    Date of Patent: April 11, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Jui-Meng Jao, Shing-Ren Sheu, Kuo-Ming Chen, Hung-Min Liu, Kun-Chih Wang
  • Patent number: 7026237
    Abstract: An improved via and contact hole fill composition and method for using the composition in the dual damascene production of circuits is provided. Broadly, the fill compositions include a quantity of solid components including a polymer binder and a solvent system for the solid components. The boiling point of the solvent system is less than the cross-linking temperature of the composition. Preferred solvents for use in the solvent system include those selected from the group consisting of alcohols, ethers, glycol ethers, amides, ketones, and mixtures thereof. Preferred polymer binders are those having an aliphatic backbone and a molecular weight of less than about 80,000, with polyesters being particularly preferred.
    Type: Grant
    Filed: January 16, 2004
    Date of Patent: April 11, 2006
    Assignee: Brewer Science Inc.
    Inventors: James E. Lamb, III, Xie Shao
  • Patent number: 7026716
    Abstract: An electrical device is disclosed. The electrical device includes a substrate, and a self-assembled molecular layer on the substrate. The self-assembled molecular layer comprises a plurality of molecules, each molecule comprising a first end proximate to the substrate and a second end comprising sulfur distal to the substrate. A copper layer is on the self-assembled molecular layer.
    Type: Grant
    Filed: December 19, 2003
    Date of Patent: April 11, 2006
    Assignee: Rensselaer Polytechnic Institute
    Inventors: Ganapathiraman Ramanath, Pethuraja Gopal Ganesan, Kunjukrishna Pillai Vijayamohanan
  • Patent number: 7023093
    Abstract: A structure incorporates very low dielectric constant (k) insulators with copper wiring to achieve high performance interconnects. The wiring is supported by a relatively durable low k dielectric such as SiLk or SiO2 and a very low k and less robust gap fill dielectric is disposed in the remainder of the structure, so that the structure combines a durable layer for strength with a very low k dielectric for interconnect electrical performance.
    Type: Grant
    Filed: October 24, 2002
    Date of Patent: April 4, 2006
    Assignee: International Business Machines Corporation
    Inventors: Donald F. Canaperi, Timothy J. Dalton, Stephen M. Gates, Mahadevaiyer Krishnan, Satya V. Nitta, Sampath Purushothaman, Sean P. E. Smith
  • Patent number: 7022600
    Abstract: In order to avoid a faulty pattern resulting from a photoresist tail being formed due to a step difference of an upper hard mask layer when a dual hard mask layer is used, a planarization layer is formed following patterning of the upper hard mask layer. In this manner, a photoresist pattern is formed without the creation of a photoresist tail. Alternatively, a single hard mask layer and a planarization layer are substituted for the dual lower hard mask layer and an upper hard mask layer, respectively. In this manner, it is therefore possible to form a photoresist pattern without a photoresist tail being formed during photolithographic processes. In order to prevent formation of a facet, the planarization layer is thickly formed or, alternatively, the hard mask layer is etched using the photoresist pattern.
    Type: Grant
    Filed: May 14, 2003
    Date of Patent: April 4, 2006
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Jae-Hak Kim, Soo-Geun Lee, Ki-Kwan Park, Kyoung-Woo Lee
  • Patent number: 7018918
    Abstract: An inter-layer dielectric structure and method of making such structure are disclosed. A composite dielectric layer, initially comprising a porous matrix and a porogen, is formed. Subsequent to other processing treatments, the porogen is decomposed and removed from at least a portion of the porous matrix, leaving voids defined by the porous matrix in areas previously occupied by the porogen. The resultant structure has a desirably low k value as a result of the porosity and materials comprising the porous matrix and porogen. The composite dielectric layer may be used in concert with other dielectric layers of varying porosity, dimensions, and material properties to provide varied mechanical and electrical performance profiles.
    Type: Grant
    Filed: November 3, 2003
    Date of Patent: March 28, 2006
    Assignee: Intel Corporation
    Inventors: Grant M. Kloster, Kevin P. O'brien, Michael D. Goodner, Jihperng Leu, David H. Gracias, Lee D. Rockford, Peter K. Moon, Chris E. Barns
  • Patent number: 7015581
    Abstract: A low-k dielectric for use as an interlayer for an interconnect structure is provided. The dielectric of the present invention is an alkaline boron silicate glass which when formulated in certain compositional ranges can undergo spinodal decomposition when processed using certain thermal profiles. Spinodal decomposition is a chemical and physical separation of the silicate glass into a distinct interpenetrating microstructure which contains a substantially pure silicon dioxide network and a boron-rich network. The dimension (i.e., scale), and the amount of separation can be controlled through compositional and thermal control during the processing of the silicate glass.
    Type: Grant
    Filed: January 18, 2005
    Date of Patent: March 21, 2006
    Assignee: International Business Machines Corporation
    Inventors: Jon A. Casey, Daniel C. Edelstein
  • Patent number: 7015149
    Abstract: A simplified dual damascene process is disclosed. In the dual damascene process, a semiconductor substrate with MOS devices having a first metal layer, an etch stopping layer, and a dielectric layer in sequence are formed thereon. A via is formed on the dielectric layer by lithography. An organic layer is then formed. A trench is formed on the dielectric layer by the organic layer, thereby forming a dual damascene structure comprised of the trench and the via. The present invention is directed to a simplified dual damascene process, which can obtain a better trench profile without increasing the dielectric constant of the inter-metal dielectric (IMD).
    Type: Grant
    Filed: October 20, 2004
    Date of Patent: March 21, 2006
    Assignee: Grace Semiconductor Manufacturing Corporation
    Inventor: Been Jon Woo
  • Patent number: 6998148
    Abstract: Porous thermoset dielectric materials having low dielectric constants useful in electronic component manufacture are provided along with methods of preparing the porous thermoset dielectric materials. Also provided are methods of forming integrated circuits containing such porous thermoset dielectric material.
    Type: Grant
    Filed: March 26, 2002
    Date of Patent: February 14, 2006
    Assignee: Shipley Company, L.L.C.
    Inventors: Yujian You, Nikoi Annan, Michael K. Gallagher, Robert H. Gore
  • Patent number: 6998356
    Abstract: A method of fabricating a semiconductor device including a silicon-containing dielectric layer is provided. In one embodiment, a silicon-containing material is deposited on a substrate. The deposited material is processed with a reactive agent to react with silicon atoms of the deposited material to form the dielectric layer. The silicon-containing dielectric layer provides for improved or smaller semiconductor devices by reducing leakage and increasing the dielectric constant.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: February 14, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Don Carl Powell, Garry Anthony Mercaldi
  • Patent number: 6991959
    Abstract: A method for forming a silicon carbide film on a semiconductor substrate by plasma CVD includes: introducing a raw material gas containing silicon, carbon, and hydrogen, an inert gas, and optionally an hydrogen source gas, into a reaction chamber at a predetermined mixing formulation of the raw material gas to the inert gas; applying radio-frequency power at the mixing formulation, thereby forming a curable silicon carbide film having a dielectric constant of about 4.0 or higher; and continuously applying radio-frequency power at a mixing formulation reducing the raw material gas and the hydrogen source gas if any, thereby curing the silicon carbide film to give a dielectric constant and a leakage current lower than those of the curable silicon carbide film.
    Type: Grant
    Filed: November 25, 2003
    Date of Patent: January 31, 2006
    Assignee: ASM Japan K.K.
    Inventors: Kamal Kishore Goundar, Kiyoshi Satoh
  • Patent number: 6989288
    Abstract: An organic EL display device includes first and second electrodes with a light-emitting layer interposed therebetween and an organic soluble derivative layer arranged between the first electrode and the light-emitting layer, wherein the organic soluble derivative layer prevents impurities from being diffused to the light-emitting layer.
    Type: Grant
    Filed: December 15, 2004
    Date of Patent: January 24, 2006
    Assignee: Samsung SDI Co., Ltd.
    Inventors: Min-Chul Suh, Mu-Hyun Kim, Jang-Hyuk Kwon
  • Patent number: 6984581
    Abstract: Highly porous, low-k dielectric materials are mechanically reinforced to enable the use of these low-k materials as interlayer dielectrics in advanced integrated circuits such as those which incorporate highly porous ILD materials in a Cu damascene interconnect technology. An integrated circuit, embodying such a mechanically reinforced ILD generally includes a substrate having interconnected electrical elements therein, a first dielectric layer disposed over the substrate, a plurality of electrically insulating structures disposed on the first dielectric layer, and a second dielectric layer disposed on the first dielectric layer such that the second dielectric surrounds the plurality of structures.
    Type: Grant
    Filed: December 21, 2000
    Date of Patent: January 10, 2006
    Assignee: Intel Corporation
    Inventor: Lawrence D. Wong
  • Patent number: 6979637
    Abstract: A method and structure for controlling the surface properties in the dielectric layers in a thin film component can be provided for improving the trimming process of thin film element. A metal fill is configured with a uniform fill pattern beneath an array of thin film resistors, and can comprise a plurality of smaller features or peaks providing a finer fill pattern that improves the control of the topology of the dielectric layers. The fill pattern can be configured in various manners, such as fill patterns parallel to the thin film resistor, fill patterns perpendicular to the thin film resistor, or fill patterns comprising a checkerboard-like configuration.
    Type: Grant
    Filed: September 2, 2003
    Date of Patent: December 27, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Eric W. Beach, Walter B. Meinel, Eric L. Hoyt
  • Patent number: 6979639
    Abstract: Methods for making electronic devices where a molecular monolayer or multilayer is sandwiched between top and bottom electrodes at electrode intersections. The molecular layer has an electrical characteristic such as bistable switching. A layer of electrically conductive material is used to protect the molecular layer during formation of the top electrode pattern. The electrically conductive material remains sandwiched between the top and bottom electrodes at the electrode intersections in the final electronic device.
    Type: Grant
    Filed: March 16, 2004
    Date of Patent: December 27, 2005
    Assignees: California Institute of Technology, Hewlett-Packard Development Co., L.P.
    Inventors: James R. Heath, Charles P. Collier, Yi Luo, Erica DeIonno, Patricia A. Beck
  • Patent number: 6972217
    Abstract: A low-k interconnect dielectric layer is strengthened by forming pillars of hardened material in the low-k film. An E-beam source is used to expose a plurality of pillar locations. The locations are exposed with a predetermined power and exposure time to convert the low-k film in the selected locations to pillars having higher hardness and strength than the surrounding portions of the low-k film.
    Type: Grant
    Filed: December 23, 2002
    Date of Patent: December 6, 2005
    Assignee: LSI Logic Corporation
    Inventors: Derryl J. Allman, Charles May
  • Patent number: 6972209
    Abstract: A multilevel semiconductor integrated circuit (IC) structure including a first interconnect level including a layer of dielectric material over a semiconductor substrate, the layer of dielectric material comprising a dense material for passivating semiconductor devices and local interconnects underneath; multiple interconnect layers of dielectric material formed above the layer of dense dielectric material, each layer of dielectric material including at least a layer of low-k dielectric material; and, a set of stacked via-studs in the low-k dielectric material layers, each of said set of stacked via studs interconnecting one or more patterned conductive structures, a conductive structure including a cantilever formed in the low-k dielectric material.
    Type: Grant
    Filed: November 27, 2002
    Date of Patent: December 6, 2005
    Assignee: International Business Machines Corporation
    Inventors: Birendra N. Agarwala, Conrad A. Barile, Hormazdyar M. Dalal, Brett H. Engle, Michael Lane, Ernest Levine, Xiao Hu Liu, Vincent McGahay, John F. McGrath, Conal E. Murray, Jawahar P. Nayak, Du B. Nguyen, Hazara S. Rathore, Thomas M. Shaw
  • Patent number: 6967407
    Abstract: A semiconductor device capable of high speed operation with a substantially small interlayer capacitance is produced by steps of using an insulating film comprising an organic insulating film and an insulating film composed of an organometallic polymer material as an interlayer insulating film formed by coating, patterning the insulating film in a semi-thermosetting state, etching the organic insulating film as the lower layer by means of the organometallic polymer as a mask, using a plasma gas containing oxygen as the main component, and then conducting ultimate baking treatment of these insulating films.
    Type: Grant
    Filed: June 25, 2001
    Date of Patent: November 22, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Miharu Otani, Jun Tanaka, Katsuhiko Hotta, Yasumichi Suzuki, Takashi Inoue
  • Patent number: 6962875
    Abstract: A method of forming a variable contact structure, and the structure so formed, comprising forming a via within the device, wherein a diameter of the via is variably determined depending upon the number of wires to be contacted.
    Type: Grant
    Filed: June 11, 2004
    Date of Patent: November 8, 2005
    Assignee: International Business Machines Corporation
    Inventor: Anthony K. Stamper
  • Patent number: 6962869
    Abstract: A method of protecting a low k dielectric layer that is preferably comprised of a material containing Si, O, C, and H is described. The dielectric layer is subjected to a gas plasma that is generated from a CXHY gas which is preferably ethylene. Optionally, hydrogen may be added to the CXHY gas. Another alternative is a two step plasma process involving a first plasma treatment of CXHY or CXHY combined with H2 and a second plasma treatment with H2. The modified dielectric layer provides improved adhesion to anti-reflective layers and to a barrier metal layer in a damascene process. The modified dielectric layer also has a low CMP rate that prevents scratch defects and an oxide recess from occurring next to the metal layer on the surface of the damascene stack. The plasma treatments are preferably done in the same chamber in which the dielectric layer is deposited.
    Type: Grant
    Filed: October 15, 2002
    Date of Patent: November 8, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tien-I Bao, Hsin-Hsien Lu, Lih-Ping Li, Chung-Chi Ko, Aaron Song, Syun-Ming Jang
  • Patent number: 6960833
    Abstract: To provide a semiconductor device having copper wiring layers and organic insulating resin layers with less separation and its manufacture method. A semiconductor device has: a semiconductor substrate formed with a number of semiconductor elements; a first interlayer insulating film formed above the semiconductor substrate and having a first wiring recess; a first copper wiring embedded in the first wiring recess; a second interlayer insulating film having a second wiring recess, the second interlayer insulating film including a copper diffusion preventing layer formed on the first copper wiring and the first interlayer insulating film, an oxide film formed on the copper diffusion preventing layer, and an organic insulating resin layer formed on the oxide film; and a second copper wiring embedded in the second wiring recess.
    Type: Grant
    Filed: January 24, 2003
    Date of Patent: November 1, 2005
    Assignee: Fujitsu Limited
    Inventors: Satoshi Otsuka, Shun-ichi Fukuyama
  • Patent number: 6958526
    Abstract: An apparatus and method is described incorporating one or more layers of SiCOH and one or more layers of patterned conductors in an integrated circuit chip. The invention overcomes the problem of capacitance by lowering the k of the delectric and overcomes the problem of breakdown voltage and the leakage curent by tailoring the composition of SiCOH.
    Type: Grant
    Filed: January 27, 2004
    Date of Patent: October 25, 2005
    Assignee: International Business Machines Corporation
    Inventors: Stephen McConnell Gates, Alfred Grill
  • Patent number: 6958524
    Abstract: A method of manufacturing an insulating layer, including forming a first dielectric layer having a first pore size over a substrate, shrinking the first pore size to a second pore size by a first densification process, forming a second dielectric layer over the first dielectric layer, and increasing an aggregate dielectric constant of the first and second dielectric layers by a second densification process.
    Type: Grant
    Filed: November 6, 2003
    Date of Patent: October 25, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Lih-Ping Li, Yung-Cheng Lu
  • Patent number: 6951764
    Abstract: A ferroelectric memory device and a method of formation are disclosed. In one particular embodiment, a ferroelectric memory device comprises a first electrode layer formed on a substrate, a ferroelectric polymer layer formed on substantial portion of a first electrode layer, a thin layer of conductive ferroelectric polymer formed on a substantial portion of the ferroelectric polymer layer, where the ferroelectric polymer may be made conductive by doping with conductive nano-particles, and a second electrode layer formed on at least a portion of the carbon doped ferroelectric polymer layer.
    Type: Grant
    Filed: July 20, 2004
    Date of Patent: October 4, 2005
    Assignee: Intel Corporation
    Inventor: Ebrahim Andideh
  • Patent number: 6949829
    Abstract: With a stopper layer 19 as an etching stopper, a second groove 14a and a contact hole 13a are formed. Copper is buried inside the second groove 14a and the contact hole 13a, thereby forming a plug layer 22 and an overlying wiring layer 21 connected to an underlying wiring layer 17 via the plug layer 22. The stopper layer 19 is comprised of Si, C and N as essential components. First and second cap layers 18 and 23 are also comprised of Si, C and N as essential components.
    Type: Grant
    Filed: September 11, 2001
    Date of Patent: September 27, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Takashi Akahori, Gishi Chung, Kohei Kawamura
  • Patent number: 6949456
    Abstract: A method for manufacturing a semiconductor device includes: (i) depositing a sacrificial layer made of an organic polymer such as benzocyclobutene on a substrate having a circuit formed thereon; (ii) etching the sacrificial layer except for a portion where air gaps are to be formed; (iii) depositing a low-dielectric layer over the substrate until the portion for air gaps is entirely enclosed in the low-dielectric layer; (iv) etching the low-dielectric layer to form via holes and trenches there through; (v) prior or subsequent to step (iv), removing the portion for air gaps; and (vi) depositing copper in the vias and trenches which are filled with the copper contacting a surface of the substrate.
    Type: Grant
    Filed: October 24, 2003
    Date of Patent: September 27, 2005
    Assignee: ASM Japan K.K.
    Inventor: Devendra Kumar
  • Patent number: 6946381
    Abstract: The present invention relates to a method of forming an insulating film in a semiconductor device. The method includes forming a low dielectric constant insulating film containing a foaming agent on a semiconductor substrate, forming a contact hole or a trench in a low dielectric constant insulating film by means of a dual damascene process, and then making the low dielectric constant insulating film containing the foaming agent a porous low dielectric constant insulating film. It is therefore possible to prevent chemicals used in a dual damascene process from remaining in pores of the porous low dielectric constant insulating film. Consequently, the present invention has advantages that it can prevent metal wirings formed in a contact hole or a trench from being eroded and enhance reliability of the process and electrical properties of the device.
    Type: Grant
    Filed: December 10, 2003
    Date of Patent: September 20, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sung Bo Hwang