Including Use Of Antireflective Layer Patents (Class 438/636)
  • Patent number: 7557033
    Abstract: A method of forming a metal line of a semiconductor memory device includes the steps of forming plugs of a damascene structure in a first interlayer insulating layer over a semiconductor substrate, forming a barrier metal layer, a metal layer and an anti-reflection layer on the resulting surface, etching the anti-reflection layer, the metal layer, and the barrier metal layer according a specific pattern, and forming an insulating layer on sidewalls of the metal layer.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: July 7, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Eun Soo Kim, Seung Hee Hong, Cheol Mo Jeong, Jung Geun Kim
  • Publication number: 20090163021
    Abstract: Provided is a method of fabricating a semiconductor device with a dual damascene pattern. According to the method, a diffusion barrier layer, dielectric, a capping layer, and an organic bottom anti-reflection coating (BARC) are sequentially formed on a substrate where a metal interconnection is formed. A photoresist pattern on the organic BARC is formed and the organic BARC, the capping layer, and the dielectric are selectively etched to form a trench using the photoresist pattern as a mask. The photoresist pattern and the organic BARC are removed, and a byproduct capping mask is formed by reacting the capping layer with a reaction gas to form a byproduct. A portion of the trench is filled with the byproduct. Then, a via hole is formed in the trench using the byproduct capping mask as a mask, and the byproduct capping mask, the diffusion barrier layer, and the capping layer are removed.
    Type: Application
    Filed: December 19, 2008
    Publication date: June 25, 2009
    Inventor: Sang Wook RYU
  • Patent number: 7538025
    Abstract: A method of forming a dual damascene opening comprising the following steps. A structure having an overlying exposed conductive layer formed thereover is provided. A dielectric layer is formed over the exposed conductive layer. An anti-reflective coating layer is formed over the dielectric layer. The anti-reflective layer and the dielectric layer are etched using a via opening process to form an initial via exposing a portion of the conductive layer. A protective film portion is formed over at least the exposed portion of the conductive layer. The anti-reflective coating layer and the dielectric layer are patterned to reduce the initial via to a reduced via and to form a trench opening substantially centered over the reduced via. The trench opening and the reduced via comprising the dual damascene opening.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: May 26, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chao-Cheng Chen, Chen-Nan Yeh, Chien-Chung Fu
  • Patent number: 7538026
    Abstract: A method utilizing a multilayer anti-reflective coating layer structure can achieve low reflectivity at high numerical apertures. The multilayer anti-reflective coating structure can be utilized as a hard mask forming various integrated circuit structures. A multilayer anti-reflective coating structure can be utilized to form gate stacks comprised of polysilicon and a dielectric layer. A photoresist is applied above the multilayer anti-reflective coating which can include silicon oxynitride (SiON) and silicon rich nitride (SiRN).
    Type: Grant
    Filed: April 4, 2005
    Date of Patent: May 26, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kouros Ghandehari, Anna M. Minvielle, Marina V. Plat, Hirokazu Tokuno
  • Patent number: 7510980
    Abstract: A method for manufacturing a semiconductor device of the present invention includes: forming a first film, a second film and a third film in sequence on a silicon substrate; patterning a resist film formed on the third film by conducting an exposure and developing process for the resist film employing an exposure mask including a phase shifter; selectively dry-etching the third film through a mask of the resist film employing the second film as an etch stop to process the third film into a first pattern; further dry-etching the third film employing the second film as an etch stop to partially remove the third film, thereby processing the third film into a second pattern; patterning the second film employing the third film having the second pattern as a mask; and patterning the first film employing the patterned second film as a mask.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: March 31, 2009
    Assignee: NEC Electronics Corporation
    Inventors: Toshihisa Koretsune, Masato Fujita
  • Patent number: 7504330
    Abstract: A method of forming an insulative film includes a step of vacuum laminating an insulative organic material on a substrate that has a peripheral ring electrode formed in a peripheral region of the substrate and a device element(s) formed inside the peripheral region, and has a surface configuration including raised parts. A first dummy pattern is formed in a region between the peripheral ring electrode and the device element on the substrate.
    Type: Grant
    Filed: August 6, 2007
    Date of Patent: March 17, 2009
    Assignee: Fuji Electric Holdings Co., Ltd.
    Inventors: Takayuki Hirose, Masaharu Edo, Akira Sato
  • Patent number: 7498257
    Abstract: A process for forming an ARC layer in the fabrication of a semiconductor device comprises forming a modified ARC layer that increases the resistance to crown defects and bridging and also provides better adhesion for the ARC layer with the underlying metal layer. The modified ARC layer can comprise two titanium nitride ARC layers, a titanium nitride/titanium/titanium nitride sandwich structure, a modified titanium nitride layer, or an extended thickness titanium nitride layer.
    Type: Grant
    Filed: January 11, 2006
    Date of Patent: March 3, 2009
    Assignee: Macronix International Co., Ltd.
    Inventors: Hsing-Hua Chiu, Tuung Luoh, Chi-Tung Huang, Kuang-Chao Chen
  • Patent number: 7485573
    Abstract: A lithographic structure consisting essentially of: an organic antireflective material disposed on a substrate; a vapor-deposited RCHX material, wherein R is one or more elements selected from the group consisting of Si, Ge, B, Sn, Fe and Ti, and wherein X is not present or is one or more elements selected from the group consisting of O, N, S and F; and a photoresist material disposed on the RCHX material. The invention is also directed to methods of making the lithographic structure, and using the structure to pattern a substrate.
    Type: Grant
    Filed: February 17, 2006
    Date of Patent: February 3, 2009
    Assignee: International Business Machines Corporation
    Inventors: Marie Angelopoulos, Katherina E. Babich, Sean D. Burns, Richard A. Conti, Allen H. Gabor, Scott D. Halle, Arpan P. Mahorowala, Dirk Pfeiffer
  • Patent number: 7482279
    Abstract: A method for fabricating a conducting layer pattern using a hard mask of which a upper surface is flattened by the use of ArF exposure light source.
    Type: Grant
    Filed: February 2, 2006
    Date of Patent: January 27, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sung-Kwon Lee
  • Patent number: 7473641
    Abstract: A method for manufacturing a semiconductor device is provided. First, a first metal conductive line is formed, and then a semiconductor device is formed on the first metal conductive line. A dielectric layer is formed on the semiconductor device. A contact window is formed at a position in the dielectric layer corresponding to the first metal conductive line. Then, a metal plug is formed in the contact window. The metal plug is used as a mask for etching the semiconductor device, such that the etched semiconductor device takes the form of a shape corresponding to the metal plug. Through the manufacturing method, the semiconductor device is formed according to the shape of the metal plug and is completely aligned with the metal plug.
    Type: Grant
    Filed: July 20, 2006
    Date of Patent: January 6, 2009
    Assignee: Industrial Technology Research Institute
    Inventors: Ching-Yuan Ho, Yung-Hsiang Chen
  • Patent number: 7462560
    Abstract: A process of physical vapor depositing mirror layer with improved reflectivity is disclosed. A wafer is loaded into a PVD tool comprising a degas chamber, a Ti/TiN sputter deposition chamber, a cooling chamber, and an aluminum sputter deposition chamber. A wafer degas process is first performed within the degas chamber. The wafer is then transferred to the Ti/TiN sputter deposition chamber and deposition sputtering a layer of titanium onto the wafer. The wafer is transferred to the cooling chamber and gas cooling the wafer temperature down to 40-50° C. The wafer is then transferred to the aluminum sputter deposition chamber and deposition sputtering a layer of aluminum onto the wafer at 40-50° C. with a backside gas turned off. The deposited layer of aluminum over the wafer has a reflectivity of about 0.925 at wavelength of around 380 nm.
    Type: Grant
    Filed: August 11, 2005
    Date of Patent: December 9, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Nien-Chung Chiang, Chih-Sheng Chang, Chun-Hsing Tung, Yi-Tyng Wu, Huai-Hsuan Tsai, Chi-Rong Lin
  • Patent number: 7459396
    Abstract: A method for depositing a Ru metal layer on a patterned substrate from a film precursor vapor delivered from a multi-tray film precursor evaporation system. The method comprises providing a patterned substrate in a process chamber of a deposition system, and forming a process gas containing Ru3(CO)12 precursor vapor and a carrier gas comprising CO gas. The process gas is formed by: providing a solid Ru3(CO)12 precursor in a plurality of spaced trays within a precursor evaporation system, wherein each tray is configured to support the solid precursor and wherein the plurality of spaced trays collectively provide a plurality of surfaces of solid precursor; heating the solid precursor in the plurality of spaced trays in the precursor evaporation system to a temperature greater than about 60° C.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: December 2, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Kenji Suzuki, Emmanuel P. Guidotti, Gerrit J. Leusink, Masamichi Hara, Daisuke Kuroiwa
  • Patent number: 7435354
    Abstract: A treatment method for a surface of a photoresist layer is provided. After forming a patterned photoresist layer over a wafer, a surface treatment step is performed to the photoresist layer by using at least one reaction gas comprising hydrogen bromide or hydrogen iodide to form a hardened layer over the surface of the photoresist layer. Wherein, the surface treatment step and the etching step are in-situ performed.
    Type: Grant
    Filed: January 6, 2005
    Date of Patent: October 14, 2008
    Assignee: United Microelectronic Corp.
    Inventor: Kao-Su Huang
  • Patent number: 7435676
    Abstract: Interconnect structures possessing an organosilicate glass interlayer dielectric material with minimal stoichiometeric modification and optionally an intact organic adhesion promoter for use in semiconductor devices are provided herein. The interconnect structure is capable of delivering improved device performance, functionality and reliability owing to the reduced effective dielectric constant of the stack compared with that of those conventionally employed because of the use of a sacrificial polymeric material deposited onto the dielectric and optional organic adhesion promoter during the barrier open step done prior to ashing the patterning material. This sacrificial film protects the dielectric and optional organic adhesion promoter from modification/consumption during the subsequent ashing step during which the polymeric film is removed.
    Type: Grant
    Filed: January 10, 2006
    Date of Patent: October 14, 2008
    Assignee: International Business Machines Corporation
    Inventors: Timothy J. Dalton, Nicholas C. Fuller, Satyanarayana V. Nitta
  • Patent number: 7432194
    Abstract: An etching method is described, including a first etching step and a second etching step. The temperature of the second etching step is higher than that of the first etching step, such that the after-etching-inspection (AEI) critical dimension is smaller than the after-development-inspection (ADI) critical dimension.
    Type: Grant
    Filed: June 10, 2005
    Date of Patent: October 7, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Pei-Yu Chou, Jiunn-Hsiung Liao
  • Publication number: 20080233735
    Abstract: An etching method for semiconductor element is provided. The etching method includes the following procedure. First, a to-be-etched substrate is provided. Then, a silicon-rich silicon oxide (SRO) layer is formed on the to-be-etched substrate. Afterwards, an anti-reflective layer is formed on the SRO layer. Then, a patterned photo resist layer is formed on the anti-reflective layer. Afterwards, the anti-reflective layer, the SRO layer and the to-be-etched substrate is etched so as to form an opening.
    Type: Application
    Filed: March 21, 2007
    Publication date: September 25, 2008
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Tuung Luoh, Ling-Wuu Yang, Kuang-Chao Chen
  • Patent number: 7427559
    Abstract: According to one aspect of the invention, a method of constructing a memory array is provided. An insulating layer is formed on a semiconductor wafer. A first metal stack is then formed on the insulating layer and etched to form first metal lines. A polymeric layer is formed over the first metal lines and the insulating layer. A puddle of smoothing solvent is then allowed to stand on the wafer. The smoothing solvent is then removed. After the smoothing solvent is removed, the polymeric layer has a reduced surface roughness. A second metal stack is then formed on the polymeric layer and etched to form second metal lines.
    Type: Grant
    Filed: November 27, 2006
    Date of Patent: September 23, 2008
    Assignee: Intel Corporation
    Inventors: Michael J. Leeson, Ebrahim Andideh
  • Patent number: 7416834
    Abstract: The present invention relates to a spin-on antireflective coating composition for a photoresist comprising a polymer, a crosslinking compound and a thermal acid generator, where the polymer comprises at least one functional moiety capable of increasing the refractive index of the antireflective coating composition to a value equal or greater than 1.8 at exposure radiation used for imaging the photoresist and a functional moiety capable of absorbing exposure radiation used for imaging the photoresist. The invention further relates to a process for imaging the antireflective coating of the present invention.
    Type: Grant
    Filed: September 27, 2006
    Date of Patent: August 26, 2008
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: David J. Abdallah, Ralph R. Dammel
  • Publication number: 20080200024
    Abstract: A method for fabricating a semiconductor device includes forming an interlayer insulating film over a semiconductor substrate. The interlayer insulating film is selectively etched to form a hole defining a storage node region. A lower electrode is formed in the hole. A support layer is formed over the lower electrode. The support layer fills an upper part of the hole and exposes the interlayer insulating film. A dip-out process is performed to remove the interlayer insulating film. The supporting layer is removed to expose the lower electrode. A dielectric film is formed over the semiconductor substrate including the lower electrode. A plate electrode is formed over the semiconductor substrate to fill the dielectric film and the lower electrode.
    Type: Application
    Filed: June 29, 2007
    Publication date: August 21, 2008
    Inventor: Keun Kyu Kong
  • Publication number: 20080194097
    Abstract: A method of reworking a semiconductor substrate and a method of forming a pattern of semiconductor device using the same without damage to an organic anti-reflective coating (ARC) is provided. The method of reworking a semiconductor substrate includes forming a photoresist pattern on a substrate having the organic ARC formed thereon. An entire surface of the substrate having the photoresist pattern formed thereon may be exposed when a defect is present in the photoresist pattern. The entire-surface-exposed photoresist pattern may be removed by performing a developing process without damage to the organic ARC.
    Type: Application
    Filed: February 6, 2008
    Publication date: August 14, 2008
    Inventors: Eun-Sung Kim, Tae-Kyu Kim, Seok-Hwan Oh
  • Publication number: 20080169570
    Abstract: An AlCu film is formed by simultaneously depositing AlCu within a via hole and on top of an interlayer dielectric film. The surface of the AlCu film is polished using a CMP process, and a TiN antireflection layer is formed thereon. The TiN antireflection layer having a flat surface prevents halation during pattering the interconnections including the AlCu film, thereby preventing ingress of etching solution during a subsequent wet etching process.
    Type: Application
    Filed: January 10, 2008
    Publication date: July 17, 2008
    Applicant: Elpida Memory, Inc.
    Inventor: Masayoshi SAITO
  • Patent number: 7390738
    Abstract: Techniques are disclosed for fabricating a device using a photolithographic process. The method includes providing a first anti-reflective coating over a surface of a substrate. A layer which is transparent to a wavelength of light used during the photolithographic process is provided over the first anti-reflective coating, and a photosensitive material is provided above the transparent layer. The photosensitive material is exposed to a source of radiation including the wavelength of light. Preferably, the first anti-reflective coating extends beneath substantially the entire transparent layer. The complex refractive index of the first anti-reflective coating can be selected to maximize the absorption at the first anti-reflective coating to reduce nothing of the photosensitive material.
    Type: Grant
    Filed: May 1, 2006
    Date of Patent: June 24, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Zhiping Yin
  • Patent number: 7381637
    Abstract: A method and structure for a single or dual damascene interconnect structure comprises forming wiring lines in a metallization layer over a substrate, shaping a laminated insulator stack above the metallization layer, patterning a hardmask over the laminated insulator stack, forming troughs in the hardmask, patterning the laminated insulator stack, forming vias in the patterned laminated insulator stack, creating sidewall spacers in the bottom portion of the vias, depositing an anti-reflective coating on the sidewall spacers, etching the troughs, removing the anti-reflective coating, depositing a metal layer in the troughs, vias, and sidewall spacers, and applying conductive material in the troughs and the vias. The laminated insulator stack comprises a dielectric layer further comprising oxide and polyarylene.
    Type: Grant
    Filed: February 8, 2005
    Date of Patent: June 3, 2008
    Assignee: International Business Machines Corporation
    Inventors: Edward C. Cooney, III, Robert M. Geffken, Anthony K. Stamper
  • Publication number: 20080119040
    Abstract: A method for forming a dual damascene structure is provided. In one embodiment, a semiconductor substrate with a patterned protective layer formed thereover is provided. A conformal dielectric layer is formed over the protective layer. A patterned mask layer is formed over the dielectric layer. A portion of the dielectric layer is etched substantially up to about the top surface of the protective layer according to the pattern of the mask layer to form a trench. The protective layer is then removed to form a via hole. A conductive layer is formed in the via hole and the trench, thereby forming a dual damascene structure.
    Type: Application
    Filed: November 21, 2006
    Publication date: May 22, 2008
    Inventors: Chih-Han Lin, Chien-Chung Chen, K.T. Lai, Hung-Lung Hu
  • Patent number: 7365408
    Abstract: A bi-layer anti-reflective coating for use in photolithographic applications, and specifically, for use in ultraviolet photolithographic processes. The bi-layered anti-reflective coating is used to minimize pattern distortion due to reflections from neighboring features in the construction of microcircuits. The bi-layer anti-reflection coating features a first layer, an absorption layer, disposed on a second layer, a dielectric layer, which is then disposed between a substrate and a photoresist layer. The dielectric/absorption layer comprises one combination selected from Ta/Al2O3, Ta/SiO2, Ta/TiO2, Ta/Ta2O5, Ta/Cr2O3, Ta/Si3N4, Ti/Al2O3, Ti/SiO2, Ti/TiO2, Ti/Ta2O5, Ti/Cr2O3, Ti/Si3N4, Cr/Al2O3, Cr/SiO2, Cr/TiO2, Cr/Ta2O5, Cr/Cr2O3, Cr/Si3N4, Al/Al2O3, Al/TiO2, Al/Ta2O5, Al/Cr2O3, Al/Si3N4, Ni/Al2O3, Ni/SiO2, Ni/TiO2, Ni/Ta2O5, Ni/Cr2O3, Ni/Si3N4, Ir/Al2O3, Ir/SiO2, Ir/TiO2, Ir/Ta2O5, Ir/Cr2O3, and Ir/Si3N4. At least the absorption and dielectric layers can be formed using vacuum deposition.
    Type: Grant
    Filed: April 30, 2002
    Date of Patent: April 29, 2008
    Assignee: International Business Machines Corporation
    Inventors: James Bernard Kruger, Clint David Snyder, Patrick Rush Webb, Howard Gordon Zolla
  • Patent number: 7364924
    Abstract: An electroluminescence (EL) device and a method are provided for fabricating said device with a nanotip electrode. The method comprises: forming a bottom electrode with nanotips; forming a Si phosphor layer adjacent the nanotips; and, forming a transparent top electrode. The Si phosphor layer is interposed between the bottom and top electrodes. The nanotips may have a tip base size of about 50 nanometers, or less, a tip height in the range of 5 to 50 nm, and a nanotip density of greater than 100 nanotips per square micrometer. Typically, the nanotips are formed from iridium oxide (IrOx) nanotips. A MOCVD process forms the Ir bottom electrode. The IrOx nanotips are grown from the Ir. In one aspect, the Si phosphor layer is a SRSO layer. In response to an SRSO annealing step, nanocrystalline SRSO is formed with nanocrystals having a size in the range of 1 to 10 nm.
    Type: Grant
    Filed: February 17, 2005
    Date of Patent: April 29, 2008
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Sheng Teng Hsu, Fengyan Zhang, Gregory M. Stecker, Robert A. Barrowcliff
  • Patent number: 7361455
    Abstract: Anti-reflective materials such as bottom anti-reflective coatings (BARC's) and sacrificial light absorbing materials (SLAM) may be made more effective at preventing coherent light or electron beam reflection from a substrate by including in the anti-reflective material an additive to alter the radiation beam path of the reflected light or electrons. The radiation beam path altering additive may be a reflective material or a refractive material. The inclusion of such a radiation beam bath altering additive may reduce line width roughness and increase critical dimension (CD) control of interconnect lines and vias.
    Type: Grant
    Filed: March 31, 2004
    Date of Patent: April 22, 2008
    Assignee: Intel Corporation
    Inventors: Shan C. Clark, Ernisse S. Putna, Robert P. Meagley
  • Patent number: 7361588
    Abstract: A method of reducing critical dimensions of a feature in a anti-reflective coating layer structure can utilize a polymerizing agent. The anti-reflective coating structure can be utilized to form various integrated circuit structures. The anti-reflective coating can be utilized to form gate stacks comprised of polysilicon and a dielectric layer, conductive lines, or other IC structure. The polymerizing agent can include carbon, hydrogen and fluorine.
    Type: Grant
    Filed: April 4, 2005
    Date of Patent: April 22, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Phillip L. Jones, Mark S. Chang, Scott A. Bell
  • Patent number: 7354855
    Abstract: For simplifying the dual-damascene formation steps of a multilevel Cu interconnect, a formation step of an antireflective film below a photoresist film is omitted. Described specifically, an interlayer insulating film is dry etched with a photoresist film formed thereover as a mask, and interconnect trenches are formed by terminating etching at the surface of a stopper film formed in the interlayer insulating film. The stopper film is made of an SiCN film having a low optical reflectance, thereby causing it to serve as an antireflective film when the photoresist film is exposed.
    Type: Grant
    Filed: June 16, 2006
    Date of Patent: April 8, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Katsuhiko Hotta, Kyoko Sasahara
  • Patent number: 7351635
    Abstract: Methods of fabricating a microelectronic device having improved performance characteristics are disclosed which are characterized by using super critical fluid to perform a material removal step. In one illustrative embodiment, the method includes preparing a substrate, forming an HSQ layer covering at least a portion of the substrate, and thereafter removing at least portions of the HSQ layer using super critical fluid CO2.
    Type: Grant
    Filed: January 12, 2005
    Date of Patent: April 1, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Cheol Han, Jun-Hwan Oh
  • Patent number: 7344912
    Abstract: Disclosed are methods of fabricating a memory cell structure. More specifically, a copper substrate, including but not limited to copper contacts and/or bit lines, can be formed within a metal-containing layer, for example. Optionally, one or more via openings can then be formed in an overlying dielectric layer to expose one or more of the copper contacts and/or bit lines. Copper sulfide material can be formed thereon. Alternatively, a portion of the exposed copper can be converted to copper sulfide (e.g., Cu2S2 or Cu2S). The copper sulfide material can then be exposed to a vapor phase monomer to facilitate selective growth of a conducting polymer.
    Type: Grant
    Filed: March 1, 2005
    Date of Patent: March 18, 2008
    Assignee: Spansion LLC
    Inventor: Uzodinma Okoronyanwu
  • Patent number: 7341939
    Abstract: In the manufacture of a semiconductor, a DBARC layer is deposited upon a wafer to prevent reflection. A photo resist layer is deposited upon the DBARC layer and the wafer is selectively exposed to irradiation. The irradiation generates photo acid (H+ ions) in the exposed areas of the photo resist and DBARC. In order to provide better resolution in the DBARC for micro-features, an electric field is generated vertically through the coated wafer before or during post exposure baking (PEB) to create a uniform vertical distribution of H+ ions though the DBARC. The coated wafer is then developed to remove either the unexposed portions, or exposed portion of the DBARC. The cavities formed by the developer have side walls that are substantially vertical as a result of the uniform vertical distribution of the H+ ions.
    Type: Grant
    Filed: February 18, 2005
    Date of Patent: March 11, 2008
    Assignee: Taiwan Semiconductor Maunfacturing Co., Ltd.
    Inventors: Dah-Chung Oweyang, Chih-Cheng Lin, Hsueh-Liang Hung, Bang-Chein Ho
  • Patent number: 7335585
    Abstract: A method for manufacturing a semiconductor device which, on performing a via first Dual Damascene process, inhibits or prevents the formation of a void in a bottom anti-reflective coating filling a via hole. The method typically includes the steps of forming a bottom anti-reflective coating (BARC) in a via hole in an interlayer dielectric on a semiconductor substrate sufficiently to fill the via hole; disposing an acid diffusion material on the BARC; forming a cross-link layer between the BARC and the acid diffusion material; removing the remaining acid diffusion material; and etching the cross-link layer, the BARC and the interlayer dielectric to form a trench extending from an upper portion of the via hole.
    Type: Grant
    Filed: October 20, 2004
    Date of Patent: February 26, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Yong Jun Choi
  • Patent number: 7329953
    Abstract: A method for fabricating an insulating layer having contact openings of varying depths for logic/DRAM circuits is achieved using a single mask and etch step. After forming stacked or trench capacitors, a planar insulating layer is formed. Contact openings are etched in the planar insulating layer to the substrate, and contact openings that extend over the edge of the stacked or trench capacitor top electrode, having an ARC, are etched using a novel mask design and a single etching step. This allows one to make contacts to the substrate without overetching while making low-resistance contacts to the sidewall of the capacitor top electrode. In the trench capacitor open areas are formed to facilitate making contact openings that extend over the top electrode. A series of contact openings that are skewed or elongated also improve the latitude in alignment tolerance.
    Type: Grant
    Filed: October 29, 2003
    Date of Patent: February 12, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Kuo-Chi Tu
  • Patent number: 7326646
    Abstract: The present invention provides a nitrogen-free ARC layer, which is formed on the basis of silane and carbon dioxide by PECVD in a nitrogen-free deposition atmosphere. The optical characteristics may be tuned in a wide range, wherein, in particular, a back reflection into the resist is maintained at 3% or less. The ARC layer is well suited for 193 nm lithography.
    Type: Grant
    Filed: January 13, 2005
    Date of Patent: February 5, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Hartmut Ruelke, Katja Huy, Sven Muehle
  • Patent number: 7320935
    Abstract: The present invention includes an embodiment that relates to method of forming an interconnect. The method includes the effect of reducing electromigration in a metallization. An article achieved by the inventive method includes a first interconnect disposed above a substrate; a first conductive diffusion barrier layer disposed above and on the first interconnect; an upper interconnect, that is either landed or unlanded and that is disposed above the first interconnect; and an upper conductive diffusion barrier layer disposed above and on the upper interconnect.
    Type: Grant
    Filed: August 5, 2003
    Date of Patent: January 22, 2008
    Assignee: Intel Corporation
    Inventors: Jihperng Leu, Christopher D. Thomas
  • Patent number: 7319065
    Abstract: A semiconductor component having a composite via structure with an enhanced aspect ratio and a method for manufacturing the semiconductor component. Vias having a first aspect ratio are formed in a contact layer disposed on a semiconductor substrate and filled with a metal. The metal is planarized and a dielectric layer is formed over the contact layer. Via extension structures having the same aspect ratio as those in the contact layer are formed in the dielectric layer and aligned with the vias in the contact layer. The vias in the dielectric layer are filled with metal and the metal is planarized. The contact vias in the contact layer and the dielectric layer cooperate to form a composite via structure having the enhanced aspect ratio. Additional dielectric layers having via structures can be included in the composite contact structure to further enhance the aspect ratio of the via structure.
    Type: Grant
    Filed: August 8, 2003
    Date of Patent: January 15, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Wen Yu, Paul Raymond Besser
  • Patent number: 7314824
    Abstract: The present invention provides a nitrogen-free ARC/capping layer in a low-k layer stack, which, in particular embodiments, is comprised of carbon-containing silicon dioxide, wherein the optical characteristics are tuned to conform to the 193 nm lithography. Moreover, the ARC/capping layer is directly formed on the low-k material, thereby also preserving the integrity thereof during an etch and chemical mechanical polishing process.
    Type: Grant
    Filed: April 22, 2005
    Date of Patent: January 1, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kai Frohberg, Sven Muehle, Hartmut Ruelke
  • Patent number: 7309561
    Abstract: A polymer for forming an organic anti-reflective coating layer between an etching layer and a photoresist layer to absorb an exposure light in a photolithography process and a composition comprising the same are disclosed. The polymer for forming an organic anti-reflective coating layer has repeating units represented by wherein, R is a substituted or non-substituted alky group of C1 to C5.
    Type: Grant
    Filed: March 2, 2006
    Date of Patent: December 18, 2007
    Assignee: Dongjin Semichem Co., Ltd.
    Inventors: Sang-Jung Kim, Deog-Bae Kim, Jae-Hyun Kim
  • Patent number: 7303988
    Abstract: Methods of forming a multi-level metal line of a semiconductor device are disclosed. One example method includes subsequently stacking first and second metal layers, wherein a conductive etching stopper layer is interposed at an interface between the first and second metal layers; forming first and second metal layer pattern by patterning the first metal layer, the etching stopper layer, and the second metal layer, wherein the first metal layer pattern is formed as a lower metal line; forming a connection contact in form of a plug by selectively etching the second metal layer pattern until the etching stopper layer is exposed; forming an interlayer insulating layer to cover the connection contact and the first metal layer pattern; and exposing an upper surface of the connection contact by planarizing the interlayer insulating layer.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: December 4, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sang Chul Shim
  • Patent number: 7297628
    Abstract: Inwardly-tapered openings are created in an Anti-Reflection Coating layer (ARC layer) provided beneath a patterned photoresist layer. The smaller, bottom width dimensions of the inwardly-tapered openings are used for defining further openings in an interlayer dielectric region (ILD) provided beneath the ARC layer. In one embodiment, the ILD separates an active layers set of an integrated circuit from its first major interconnect layer. Further in one embodiment, a taper-inducing etch recipe is used to create the inwardly-tapered ARC openings, where the etch recipe uses a mixture of CF4 and CHF3 and where the CF4/CHF3 volumetric inflow ratio is substantially less than 5 to 1, and more preferably closer to 1 to 1.
    Type: Grant
    Filed: November 19, 2003
    Date of Patent: November 20, 2007
    Assignee: Promos Technologies, Inc.
    Inventors: Chunyuan Chao, Kuei-Chang Tsai, George A. Kovall
  • Patent number: 7291552
    Abstract: A method including introducing a dielectric layer over a substrate between an interconnection line and the substrate, the dielectric layer comprising a plurality of alternating material layers; and patterning an interconnection to the substrate. An apparatus comprising a substrate comprising a plurality of devices formed thereon; and an interlayer dielectric layer comprising a base layer and a cap layer, the cap layer comprising a plurality of alternating material layers overlying the substrate.
    Type: Grant
    Filed: December 13, 2005
    Date of Patent: November 6, 2007
    Assignee: Intel Corporation
    Inventors: Sanjay S. Natarajan, Sean W. King, Khaled A. Elamrawi
  • Patent number: 7288427
    Abstract: The method prevents oxidation or contamination phenomena of conductive interconnection structures in semiconductor devices and includes providing a layer of semiconductor or oxide base, a conductive layer or stack on the base layer, and an antireflection coating (ARC) layer on the conductive layer or stack. The method provides a thin dielectric covering layer on the antireflection coating layer to fill or cover the microfissures existing in the antireflection coating layer.
    Type: Grant
    Filed: December 10, 2004
    Date of Patent: October 30, 2007
    Assignee: STMicroelectronics S.r.l.
    Inventors: Simone Alba, Alessandro Spandre, Barbara Zanderighi
  • Patent number: 7282439
    Abstract: The invention pertains to films comprising silicon, oxygen and carbon and the use of the films in integrated circuit technology, such as capacitor constructions, DRAM constructions, semiconductive material assemblies, etching processes, and methods for forming capacitors, DRAMs and semiconductive material assemblies. One particular disclosed film is an anti-reflective coating, and a method of formation thereof.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: October 16, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Ronald A. Weimer, John T. Moore
  • Patent number: 7279793
    Abstract: An anti-reflective coating layer for the manufacturing of semiconductor devices is disclosed. In one example, a partial semiconductor device includes a substrate; a bottom anti-reflective coating (BARC) layer over the substrate, and the BARC layer is transformed from being hydrophobic to being hydrophilic during a lithography process; and a photoresist layer over the BARC layer.
    Type: Grant
    Filed: December 8, 2004
    Date of Patent: October 9, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Bang-Ching Ho, Jen-Chieh Shih
  • Patent number: 7268066
    Abstract: To reduce the width of a MOSFET gate, the gate is formed with a hardmask formed thereupon. An isotropic etch is then performed to trim the gate in order to reduce the width of the gate. The resulting gate may be formed with a width that is narrower than a minimum width achievable solely through conventional projection lithography techniques.
    Type: Grant
    Filed: August 19, 2004
    Date of Patent: September 11, 2007
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Douglas J. Bonser, Marina V. Plat, Chih Yuh Yang, Scott A. Bell, Srikanteswara Dakshina-Murthy, Philip A. Fisher, Christopher F. Lyons
  • Patent number: 7256136
    Abstract: In accordance with the objectives of the invention a new method is provided for the creation of an interconnect pattern. The invention provides for a layer of Photo-Active Dielectric (PAD) to be used for the insulation material in which the interconnect pattern is created, this without the use of an overlying exposure mask of photoresist.
    Type: Grant
    Filed: February 2, 2006
    Date of Patent: August 14, 2007
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Wuping Liu, Bei Chao Zhang, Liang Choo Hsia
  • Patent number: 7247556
    Abstract: A method of fabricating an integrated circuit (IC), during which wafer warpage is controlled by appropriately controlling intrinsic stresses in one or more service layers of the layer stack of the IC's multilevel interconnect structure. In one embodiment, each interconnect level of the multilevel interconnect structure has a dielectric layer, a conducting layer formed over the dielectric layer, and a service anti-reflective coating (ARC) layer formed over the conducting layer. Each ARC layer is formed from silicon oxynitride such that at least two ARC layers corresponding to different interconnect levels have different intrinsic stresses. The amount of intrinsic stress in each ARC layer is controlled, e.g., through the control of temperature and/or gas composition during the layer deposition.
    Type: Grant
    Filed: February 28, 2005
    Date of Patent: July 24, 2007
    Assignee: Agere Systems Inc.
    Inventors: Arun K. Nanda, Nace Rossi
  • Patent number: 7232746
    Abstract: A method for forming a dual damascene interconnection in a semiconductor device, which is capable of preventing a lower metal film from being corroded. The method includes the steps of forming an etch stop film and an intermetal insulating film sequentially on a lower metal film to be interconnected, forming a via hole for exposing a portion of a surface of the etch stop film through the intermetal insulating film, and forming a trench having a width wider than that of the via hole on the intermetal insulating film. The method also includes the steps of exposing the lower metal film by removing the etch stop film by performing an etching process using an etching equipment of a dual plasma source, performing a nitrogen passivation process for the exposed lower metal film, and forming a barrier metal film and an upper metal film sequentially within the trench and the via hole.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: June 19, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Joon-Bum Shim
  • Patent number: 7232748
    Abstract: A BARC or other sacrificial fill layer etch comprises a selective etch chemistry of Ar/O2/CO. The BARC etch may be used in a via-first dual damascene method. After via (116) pattern and etch, a BARC/sacrificial fill layer (120) is deposited to fill the via (116) and coat the IMD (110). The excess sacrificial fill layer (120) material over the IMD (110) is removed using the Ar/O2/CO etch. A trench resist pattern (125) is formed over the BARC layer (120). During the main trench etch, portions of sacrificial fill layer (120) remain in the via to protect the etch-stop (104) at the bottom of the via (116).
    Type: Grant
    Filed: July 22, 2004
    Date of Patent: June 19, 2007
    Assignee: Texas Instruments Incoporated
    Inventor: Abbas Ali