Having Viaholes Of Diverse Width Patents (Class 438/638)
  • Patent number: 7713866
    Abstract: Semiconductor devices and methods of manufacture thereof are disclosed. A preferred embodiment comprises a method of forming a barrier layer. The method of forming the barrier layer includes providing a workpiece, forming a first material layer over the workpiece, the first material layer comprising a nitride-based metal compound. A second material layer is formed over the first material layer. The second material layer comprises Ta or Ti. The barrier layer comprises the first material layer and at least the second material layer.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: May 11, 2010
    Assignee: Infineon Technologies AG
    Inventors: Bum Ki Moon, Danny Pak-Chum Shum, Moosung Chae
  • Patent number: 7713863
    Abstract: A method for manufacturing a dual damascene structure includes forming a wiring layer over a substrate, forming an inorganic insulating film over the wiring layer, forming a via hole in the inorganic insulating film using a first resist pattern with an opening as an etching mask, removing the first resist pattern, forming an organic insulating film on the inorganic insulating film and in the via hole, forming a hard mask on the organic insulating film, forming a hard mask pattern using a second resist pattern with an opening on the hard mask as an etching mask, forming a wiring groove by etching the organic insulating film using the second resist pattern and the hard mask pattern as etching masks until the organic insulating film inside the via hole is eliminated and simultaneously eliminating the second resist pattern, and implanting a conductive substance into the via hole and wiring groove.
    Type: Grant
    Filed: April 28, 2008
    Date of Patent: May 11, 2010
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Toyokazu Sakata
  • Patent number: 7713865
    Abstract: Methods are disclosed for metal encapsulation for preventing exposure of metal during semiconductor processing. In one embodiment, the method includes forming an opening in a structure exposing a metal surface in a bottom of the opening, where the opening forming step occurs in a tool including at least one clustered chamber. An at least partially sacrificial encapsulation layer is then formed on the exposed metal surface in the tool to prevent reaction of the exposed metal surface with the ambient. Exposure of the metal is thereby prevented.
    Type: Grant
    Filed: June 24, 2005
    Date of Patent: May 11, 2010
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey P. Gambino, Anthony K. Stamper
  • Publication number: 20100110607
    Abstract: A fabrication method which forms vertical capacitors in a substrate. The method is preferably an all-dry process, comprising forming a through-substrate via hole in the substrate, depositing a first conductive material layer into the via hole using atomic layer deposition (ALD) such that it is electrically continuous across the length of the via hole, depositing an electrically insulating, continuous and substantially conformal isolation material layer over the first conductive layer using ALD, and depositing a second conductive material layer over the isolation material layer using ALD such that it is electrically continuous across the length of the via hole. The layers are arranged such that they form a vertical capacitor. The present method may be successfully practiced at temperatures of less than 200° C., thereby avoiding damage to circuitry residing on the substrate that might otherwise occur.
    Type: Application
    Filed: November 5, 2008
    Publication date: May 6, 2010
    Inventors: Jeffrey F. DeNatale, Philip A. Stupar, Alexandros P. Papavasiliou, Robert L. Borwick, III
  • Patent number: 7709388
    Abstract: A semiconductor device includes an interlayer insulation film, an underlying line provided in the interlayer insulation film, a liner film overlying the interlayer insulation film, an interlayer insulation film overlying the liner film. The underlying line has a lower hole and the liner film and the interlayer insulation film have an upper hole communicating with the lower hole, and the lower hole is larger in diameter than the upper hole. The semiconductor device further includes a conductive film provided at an internal wall surface of the lower hole, a barrier metal provided along an internal wall surface of the upper hole, and a Cu film filling the upper and lower holes. The conductive film contains a substance identical to a substance of the barrier metal. A highly reliable semiconductor device can thus be obtained.
    Type: Grant
    Filed: February 20, 2007
    Date of Patent: May 4, 2010
    Assignee: Renesas Technology Corp.
    Inventors: Kazuyoshi Maekawa, Kenichi Mori
  • Patent number: 7709367
    Abstract: A method for fabricating a storage node contact in a semiconductor device includes forming a landing plug over a substrate, forming a first insulation layer over the landing plug, forming a bit line pattern over the first insulation layer, forming a second insulation layer over the bit line pattern, forming a mask pattern for forming a storage node contact over the second insulation layer, etching the second and first insulation layers until the landing plug is exposed to form a storage node contact hole including a portion having a rounded profile, filling a conductive material in the storage node contact hole to form a contact plug, and forming a storage node over the contact plug.
    Type: Grant
    Filed: June 12, 2007
    Date of Patent: May 4, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Hae-Jung Lee, Ik-Soo Choi, Chang-Youn Hwang, Mi-Hyune You
  • Patent number: 7704877
    Abstract: When a multi-layer structure is formed by forming the interconnect trenches or via holes having different patterns in a plurality of insulating films, an anti-reflective film and an upper resist film are stacked in this order over an insulating interlayer, and the anti-reflective film is etched through the upper resist film used as a mask, wherein the anti-reflective film is etched while varying a value of at least one etching condition correlative to ?(L2?L1), expressing dimensional shift of width L2 of opening of the recess formed in the insulating film, with respect to width L1 of opening of the upper resist film, so as to reduce the dimensional shift ?(L2?L1) as the aperture ratio of the opening to be formed in the upper resist film increases, depending on the aperture ratio.
    Type: Grant
    Filed: March 3, 2008
    Date of Patent: April 27, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Hidetaka Nambu
  • Patent number: 7705464
    Abstract: The present disclosure relates generally to the manufacturing of semiconductor devices, and more particularly to an improved connection structure for semiconductor devices. A connection structure for a semiconductor device includes: a peanut-shaped opening comprising a narrow area and one or more wide areas, wherein the narrow area is between two of the one or more wide areas; and a conductive plug for filling at least partially the peanut-shaped opening.
    Type: Grant
    Filed: September 13, 2004
    Date of Patent: April 27, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jhon Jhy Liaw, Sung-Chun Hsieh, Wesley Lin, Chii-Ming W Wu, Ren-Fen Tsui
  • Patent number: 7704876
    Abstract: Methods are disclosed for forming dual damascene back-end-of-line (BEOL) interconnect structures using materials for the vias or studs which are different from those used for the line conductors, or using materials for the via liner which are different from those used for the trench liner, or having a via liner thickness different from that of the trench liner. Preferably, a thick refractory metal is used in the vias for improved mechanical strength while using only a thin refractory metal in the trenches to provide low resistance.
    Type: Grant
    Filed: August 30, 2007
    Date of Patent: April 27, 2010
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey Gambino, Edward Cooney, III, Anthony Stamper, William Thomas Motsiff, Michael Lane, Andrew Simon
  • Patent number: 7700487
    Abstract: To provide a semiconductor device having a structure in which a barrier metal film containing nitrogen is formed in a connection surface between a copper alloy wiring and a via, in which the electric resistance between the copper alloy wiring and the via can be prevented from rising, and the electric resistance can be prevented from varying. A semiconductor device according to the present invention comprises a first copper alloy wiring, a via and a first barrier metal film. The first copper alloy wiring is formed in an interlayer insulation film and contains a predetermined additive element in a main component Cu. The via is formed in an interlayer insulation film and electrically connected to the upper surface of the first copper alloy wiring. The first barrier metal film is formed so as to be in contact with the first copper alloy wiring in the connection part between the first copper alloy wiring and the via and contains nitrogen.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: April 20, 2010
    Assignee: Renesas Technology Corp.
    Inventors: Takeshi Furusawa, Daisuke Kodama, Masahiro Matsumoto, Hiroshi Miyazaki
  • Patent number: 7700478
    Abstract: The present teachings and illustrations describe a process for forming a plurality of conductive structures in or on a substrate. In one embodiment, the process comprises forming a plurality of recesses in or on the substrate, wherein the plurality of recesses include recesses having different dimensions. In addition, the process further comprises (i) forming a conductive layer which at least partially fills the plurality of recesses and (ii) treating the conductive layer to improve the conductive properties of the conductive layer. Moreover, the process still further comprises (iii) sequentially repeating acts (i) and (ii) until each of the recesses of the plurality of recesses are filled to a desired dimension and such that the conductive material in the recesses of smaller dimension are more uniformly adhered to the bottom surfaces of the recesses.
    Type: Grant
    Filed: March 6, 2007
    Date of Patent: April 20, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Dale W. Collins
  • Patent number: 7696087
    Abstract: In a method of forming a dual damascene pattern of a semiconductor device, horns that occur while forming a trench constituting the dual damascene pattern are removed in an intermediate process of forming the trench. Thus, the source of particles, which occur due to the horns in a cleaning process performed after the dual damascene pattern is formed, may be removed. Accordingly, an increase of contact resistance due to particles may be prevented, and a reduction in the yield of semiconductor devices may also be improved.
    Type: Grant
    Filed: June 28, 2008
    Date of Patent: April 13, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Chan Sun Hyun
  • Patent number: 7691740
    Abstract: The semiconductor device fabrication method according the present invention having, forming an interlayer dielectric film containing carbon above a semiconductor substrate, forming a protective film on that portion of the interlayer dielectric film, which is close to the surface and in which the carbon concentration is low, forming a trench by selectively removing a desired region of the interlayer dielectric film and protective film, such that the region extends from the surface of the protective film to the bottom surface of the interlayer dielectric film, supplying carbon to the interface between the interlayer dielectric film and protective film, and forming a conductive layer by burying a conductive material in the trench.
    Type: Grant
    Filed: October 14, 2008
    Date of Patent: April 6, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takahiko Yoshizawa, Noriaki Matsunaga, Naofumi Nakamura
  • Patent number: 7691275
    Abstract: In some embodiments, the present invention is directed to methods that involve the combination of step-and-flash imprint lithography (SFIL) with a multi-tier template to simultaneously pattern multiple levels of, for example, an integrated circuit device. In such embodiments, the imprinted material generally does not serve or act as a simple etch mask or photoresist, but rather serves as the insulation between levels and lines, i.e., as a functional dielectric material. After imprinting and a multiple step curing process, the imprinted pattern is filled with metal, as in dual damascene processing. Typically, the two printed levels will comprise a “via level,” which is used to make electrical contact with the previously patterned under-level, and a “wiring level.” The present invention provides for the direct patterning of functional materials, which represents a significant departure from the traditional approach to microelectronics manufacturing.
    Type: Grant
    Filed: February 27, 2006
    Date of Patent: April 6, 2010
    Assignee: Board of Regents, The University of Texas System
    Inventors: C. Grant Willson, Frank Palmieri, Yukio Nishimura, Stephen C. Johnson, Michael D. Stewart
  • Patent number: 7687395
    Abstract: A semiconductor structure includes a semiconductor device including a contact region. The semiconductor structure also includes a passivation layer passivating the semiconductor device including the contact region. A narrow bottomed stepped sidewall contact aperture is located within the passivation layer to expose the contact region. A corresponding narrow bottomed stepped sidewall contact via is located within the narrow bottomed stepped sidewall contact aperture to contact the contact region. The narrow bottomed stepped sidewall contact aperture and contact via provide for improved contact to the contact region and reduced parasitic capacitance with respect to the semiconductor device. Methods for fabricating the narrow bottomed stepped sidewall contact aperture use a mask layer (either dimensionally diminished or dimensionally augmented) in conjunction with a two step etch method.
    Type: Grant
    Filed: November 2, 2006
    Date of Patent: March 30, 2010
    Assignee: International Business Machines Corporation
    Inventors: Haining Yang, Wai-Kin Li
  • Patent number: 7687381
    Abstract: Methods of forming integrated circuit device having electrical interconnects include forming an electrically insulating layer on a substrate and forming a hard mask on the electrically insulating layer. The hard mask and the electrically insulating layer are selectively etched in sequence using a mask to define an opening therein. This opening, which may be a via hole, exposes inner sidewalls of the hard mask and the electrically insulating layer. The inner sidewall of the hard mask is then recessed relative to the inner sidewall of the electrically insulating layer and a sacrificial reaction layer is formed on the inner sidewall of the electrically insulating layer. This reaction layer operates to recess the inner sidewall of the electrically insulating layer. The reaction layer is then removed to define a wider opening having relatively uniform sidewalls. This wider opening is then filled with an electrical interconnect.
    Type: Grant
    Filed: March 19, 2008
    Date of Patent: March 30, 2010
    Assignees: Samsung Electronics Co., Ltd., Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Jae-hak Kim, Jing Hui Li, Wu Ping Liu, Johnny Widodo
  • Patent number: 7682967
    Abstract: A method of forming a metal wire in a semiconductor device is disclosed The method includes the steps of etching an insulating layer formed on a semiconductor substrate to form a dual damascene pattern, forming a barrier metal layer in the dual damascene pattern, forming a metal layer on the barrier metal layer, and filling the dual damascene pattern with a conductive material to form a metal wire.
    Type: Grant
    Filed: May 10, 2007
    Date of Patent: March 23, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Eun Soo Kim, Jung Geun Kim, Suk Joong Kim
  • Patent number: 7678660
    Abstract: A method of manufacturing a capacitor device of the present invention, includes the steps of, forming an insulating layer on a substrate, forming a recess portion in the insulating layer by an imprinting process, forming a lower electrode by filling a metal layer in the recess portion in the insulating layer, forming a photosensitive dielectric layer on the lower electrode, forming an upper electrode on the dielectric layer, and forming a dielectric layer pattern under the upper electrode by exposing/developing the dielectric layer while using the upper electrode as a mask.
    Type: Grant
    Filed: October 19, 2005
    Date of Patent: March 16, 2010
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventor: Koichi Tanaka
  • Patent number: 7675174
    Abstract: A thick metal layer is formed on a semiconductor integrated circuit in multiple different deposition chambers. A first portion of the metal layer is formed in a first deposition chamber, the first thickness being approximately half the target thickness. The substrate is then removed from the first chamber and transported to a second chamber. The deposition of the same metal layer continues in a second chamber, having the same grain structure and orientation. The second portion of the metal layer is grown to achieve the final thickness. By using two different deposition chambers to form the single metal layer, layers in excess of 25,000 angstroms in thickness can be obtained.
    Type: Grant
    Filed: May 13, 2003
    Date of Patent: March 9, 2010
    Assignee: STMicroelectronics, Inc.
    Inventor: Ardeshir J. Sidhwa
  • Patent number: 7675178
    Abstract: A method of fabricating a stacked structure for forming a damascene process is described. A doped dielectric layer is formed on a substrate. A surface treatment is performed to the dielectric layer to make the dopant concentration in an upper surface layer of the dielectric layer lower than that in the other portions of the dielectric layer. A metal hard mask is then formed on the dielectric layer. Since the dopant conc. in the upper surface layer of the dielectric layer is lowered, the reaction between the metal hard mask and the dopant in the dielectric layer can be inhibited.
    Type: Grant
    Filed: December 28, 2005
    Date of Patent: March 9, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Chin-Hsiang Lin, Chih-Chien Liu
  • Publication number: 20100055897
    Abstract: After trench line pattern openings and via pattern openings are formed in a inter-metal dielectric insulation layer of a semiconductor wafer using trench-first dual damascene process, the wafer is wet cleaned in a single step wet clean process using a novel wet clean solvent composition. The wet clean solvent effectively cleans the dry etch residue from the plasma etching of the dual damascene openings, etches back the TiN hard mask layer along the dual damascene openings and forms a recessed surface at the conductor metal from layer below exposed at the bottom of the via openings of the dual damascene openings.
    Type: Application
    Filed: September 3, 2008
    Publication date: March 4, 2010
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chun-Li Chou, Syun-Ming Jang, Jyu-Horng Shieh, Chih-Yuan Ting
  • Patent number: 7670944
    Abstract: Method and structures are provided for conformal lining of dual damascene structures in integrated circuits. Trenches and contact vias are formed in insulating layers. The trenches and vias are exposed to alternating chemistries to form monolayers of a desired lining material. Exemplary process flows include alternately pulsed metal halide and ammonia gases injected into a constant carrier flow. Self-terminated metal layers are thus reacted with nitrogen. Near perfect step coverage allows minimal thickness for a diffusion barrier function, thereby maximizing the volume of a subsequent filling metal for any given trench and via dimensions.
    Type: Grant
    Filed: August 28, 2006
    Date of Patent: March 2, 2010
    Assignee: ASM International N.V.
    Inventors: Ivo Raaijmakers, Suvi P. Haukka, Ville A. Saanila, Pekka J. Soininen, Kai-Erik Elers, Ernst H.A. Granneman
  • Patent number: 7670947
    Abstract: A process for forming an interconnect structure in a low-k dielectric layer includes etching to form trenches in the dielectric layer, removal of photoresist, and further etching to remove damaged portions of the dielectric layer in sidewalls of the trenches. An interconnect structure includes a low-k dielectric layer formed on a substrate, and a conductor embedded in the dielectric layer, the conductor having an edge portion with an inwardly rounded shape.
    Type: Grant
    Filed: January 11, 2007
    Date of Patent: March 2, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tsang-Jiuh Wu, Syun-Ming Jang, Ming-Chung Liang, Hsin-Yi Tsai
  • Patent number: 7670921
    Abstract: A method of forming a metal-insulator-metal (MIM) capacitor includes forming a first planar dielectric layer with a first metallization layer therein; forming a first passivation layer on top thereof; forming a planar conductive layer above the first passivation layer; patterning and selectively removing the conductive layer up to the first passivation layer in designated areas to form a set of conductive features; patterning and conformally coating the set of conductive features and the exposed first passivation layer with a high strength dielectric coating; disposing a second dielectric layer above the first passivation layer and enclosing the set of conductive features; patterning and selectively removing portions of the second substrate to form channels and trenches; performing a dual-Damascene process to form a second metallization layer in the trenches and channels and to form an upper conductive surface above the high strength dielectric coating.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: March 2, 2010
    Assignee: International Business Machines Corporation
    Inventors: Anil K. Chinthakindi, Douglas D. Coolbaugh, Timothy J. Dalton, Ebenezer E. Eshun, Jeffrey P. Gambino, Anthony K. Stamper, Richard P. Volant
  • Patent number: 7666787
    Abstract: An interconnect structure of the single or dual damascene type and a method of forming the same, which substantially reduces the electromigration problem that is exhibited by prior art interconnect structures, are provided. In accordance with the present invention, a grain growth promotion layer, which promotes the formation of a conductive region within the interconnect structure that has a bamboo microstructure and an average grain size of larger than 0.05 microns is utilized. The inventive structure has improved performance and reliability.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: February 23, 2010
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Shom Ponoth
  • Patent number: 7663240
    Abstract: Mechanical strength and moisture resistance of a multilayer interconnect structure is to be improved. A semiconductor device includes a circuit region and a seal ring region formed around the circuit region, on a semiconductor substrate. The seal ring region includes a plurality of interconnect layers including interconnect lines and a plurality of via layers including a plurality of slit vias stacked on one another, and a pitch between the slit vias in at least one of the via layers (lower or middle layer) is different from a pitch between the slit vias in other via layers (upper layer).
    Type: Grant
    Filed: January 11, 2006
    Date of Patent: February 16, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Masayuki Hiroi
  • Patent number: 7659160
    Abstract: The present invention relates to an field effect transistor (FET) comprising an inverted source/drain metallic contact that has a lower portion located in a first, lower dielectric layer and an upper portion located in a second, upper dielectric layer. The lower portion of the inverted source/drain metallic contact has a larger cross-sectional area than the upper portion. Preferably, the lower portion of the inverted source/drain metallic contact has a cross-sectional area ranging from about 0.03 ?m2 to about 3.15 ?m2, and such an inverted source/drain metallic contact is spaced apart from a gate electrode of the FET by a distance ranging from about 0.001 ?m to about 5 ?m.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: February 9, 2010
    Assignee: International Business Machines Corporation
    Inventors: Michael P. Belyansky, Dureseti Chidambarrao, Lawrence A. Clevenger, Kaushik A. Kumar, Carl Radens
  • Patent number: 7659597
    Abstract: An integrated circuit device includes a substrate including a trench therein and a conductive plug wire pattern in the trench. The conductive plug wire pattern includes a recessed portion that exposes portions of opposing sidewalls of the trench, and an integral plug portion that protrudes from a surface of the recessed portion to provide an electrical connection to at least one other conductive wire pattern on a different level of metallization. A surface of the plug portion may protrude to a substantially same level as a surface of the substrate adjacent to and outside the trench, and the surface of the recessed portion may be below the surface of the substrate outside the trench. Related fabrication methods are also discussed.
    Type: Grant
    Filed: February 16, 2007
    Date of Patent: February 9, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seong-Goo Kim, Yun-Gi Kim, Jae-Man Yoon, Hyeoung-Won Seo
  • Patent number: 7659196
    Abstract: Described herein are embodiments of a method that includes forming a hard mask over an interlayer dielectric layer, patterning said hard mask, etching said interlayer dielectric layer, and removing said hard mask during a post-etch clean with a wet etchant having a selectivity to etch said hard mask at a greater rate than said interlayer dielectric layer.
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: February 9, 2010
    Assignee: Intel Corporation
    Inventors: Magdy S. Abdelrahman, Makarem A. Hussein
  • Patent number: 7655560
    Abstract: The invention provides a wiring board having a small-scale and high-performance functional circuit while realizing a multi-layer wiring with a small number of steps. In addition, the invention provides a semiconductor device in which a display device is integrated with such high-performance functional circuit on the same substrate. According to the invention, first to third wirings, first and second interlayer insulating films and first and second contact holes are formed over a substrate having an insulating surface. The second wiring is wider than the first wiring, or the third wiring is wider than the first wiring or the second wiring. The second contact hole has a larger diameter than the first contact hole.
    Type: Grant
    Filed: September 3, 2008
    Date of Patent: February 2, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Yoshiyuki Kurokawa
  • Patent number: 7655558
    Abstract: Method and system for determining semiconductor characteristics. In a specific embodiment, the present invention provides a method for determining one or more characteristics of a partially processed integrated circuit. The method includes a step for providing a substrate material. The method further includes a step for forming at least one opening within the substrate material. The opening can be characterized by an opening characteristic that includes a depth and an opening width associated with an unknown volume. The method includes a step for providing fill material. Additionally, the method includes a step for processing the fill material to cause a first portion of the fill material to enter the opening and occupy an entirety of the unknown volume associated with the opening characteristic while a second portion of the fill material remains outside of the unknown volume.
    Type: Grant
    Filed: August 22, 2006
    Date of Patent: February 2, 2010
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Li Xu
  • Patent number: 7655562
    Abstract: A method of manufacturing a semiconductor device is disclosed. In the method of manufacturing the semiconductor device, a first insulating layer is formed on a semiconductor substrate. A metal line layer and an etch-stop layer are formed over the first insulating layer. The etch-stop layer and the metal line layer are patterned to form a metal line. A second insulating layer is formed on the first insulating layer and the etch-stop layer. A first etch process for etching part of the second insulating layer is performed by using a first etch gas so that the etch-stop layer is exposed. A second etch process for removing the etch-stop layer is performed by using a second etch gas so that the metal line is exposed.
    Type: Grant
    Filed: May 4, 2007
    Date of Patent: February 2, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Min Chul Gil
  • Patent number: 7655561
    Abstract: A method and apparatus for etchback profile control. The method includes performing a first etch through a first dielectric layer to form a first via and a second dielectric layer, filling the first via with a BARC material to form a first BARC layer, and performing a second etch on the first BARC layer to form a second BARC layer. The second etch has a first etch rate in a first peripheral region of the second BARC layer and a second etch rate in a first central region of the second BARC layer. The first peripheral region is located around a sidewall of the first via, and the first central region is located around a center of the first via. The first etch rate is larger than the second etch rate, and the first peripheral region is located higher than the first central region. A first top surface of the second BARC layer has substantially a first convex shape. Additionally, the method includes performing a third etch through a second dielectric layer to form a trench and a third BARC layer.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: February 2, 2010
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Han Ming Wu, Eric Kuang, Wei Ji Song
  • Patent number: 7651942
    Abstract: A method of fabricating a semiconductor device including a metal interconnect structure with a conductive region formed in a first dielectric layer, and an overlying, low-k, dielectric layer. A via and trench are formed in a dual damascene structure in the overlying dielectric layer, the via aligned with the conductive region and the trench. A sacrificial liner to release organic residues is deposited in the via and over the upper surface of the wafer, over which an organic planarization layer is deposited. The organic planarization layer is removed with a dry plasma etch, followed by a wet clean to remove the sacrificial liner. A diffusion barrier to separate the conductive material from the dielectric layers is deposited over the dual damascene structure and over the upper surface of the wafer. A conductive structure is formed over the diffusion barrier and polished to form an even surface for further processing steps.
    Type: Grant
    Filed: August 15, 2005
    Date of Patent: January 26, 2010
    Assignee: Infineon Technologies AG
    Inventors: Frank Huebinger, Michael Beck
  • Patent number: 7648905
    Abstract: The present invention provides a flash memory device and a method of forming the same. The method includes: forming an isolation layer and a plurality of gate lines on a semiconductor substrate; forming a source/drain region by ion-implanting impurities into the semiconductor substrate using the gate lines as a mask; forming a side oxide layer on sidewalls and surfaces of the gate lines; forming a side nitride layer on the side oxide layer; forming an insulation layer on the semiconductor substrate and the side nitride layer; forming a photosensitive layer pattern on the insulation layer; exposing the source region between the gate lines by etching the insulation layer using the photosensitive layer pattern as a mask; forming a polysilicon layer on the exposed source region and the insulation layer; and forming a source line by etching the polysilicon layer.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: January 19, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sung-Jin Kim
  • Patent number: 7648900
    Abstract: A method for forming electrical interconnects having different diameters and filler materials through a semiconductor wafer comprises forming first and second openings through a semiconductor, wherein the first opening has a narrower width (smaller diameter) than the second opening. A first conductive material is formed over the semiconductor wafer to completely fill the narrower opening and only partially fill the wider opening. The first conductive material is optionally removed from the wider opening using an isotropic etch. A second conductive material is subsequently formed over the semiconductor to completely fill the wider opening.
    Type: Grant
    Filed: January 25, 2008
    Date of Patent: January 19, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Kyle K. Kirby
  • Patent number: 7648871
    Abstract: The present invention relates to an field effect transistor (FET) comprising an inverted source/drain metallic contact that has a lower portion located in a first, lower dielectric layer and an upper portion located in a second, upper dielectric layer. The lower portion of the inverted source/drain metallic contact has a larger cross-sectional area than the upper portion. Preferably, the lower portion of the inverted source/drain metallic contact has a cross-sectional area ranging from about 0.03 ?m2 to about 3.15 ?m2, and such an inverted source/drain metallic contact is spaced apart from a gate electrode of the FET by a distance ranging from about 0.001 ?m to about 5 ?m.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: January 19, 2010
    Assignee: International Business Machines Corporation
    Inventors: Michael P. Belyansky, Dureseti Chidambarrao, Lawrence A. Clevenger, Kaushik A. Kumar, Carl Radens
  • Patent number: 7638426
    Abstract: Shorting of a copper line with an adjacent line in a semiconductor device during chemical mechanical polishing may be prevented and thus reliability of the semiconductor device may be improved, when the semiconductor device includes a substrate, an interlayer insulating layer formed on the substrate and having a dual trench, and a copper line formed to fill the dual trench, wherein the dual trench includes a first trench inclined at a first angle with respect to the substrate, and a second trench connected to the first trench and inclined at a second angle that is smaller than the first angle with respect to the substrate.
    Type: Grant
    Filed: December 27, 2005
    Date of Patent: December 29, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sung-Moo Kim
  • Patent number: 7635601
    Abstract: The disclosure concerns a manufacturing method of a semiconductor device includes dry-etching a semiconductor substrate or a structure formed on the semiconductor substrate; supplying a solution onto the semiconductor substrate; measuring a specific resistance or a conductivity of the supplied solution; and supplying a removal solution for removing the etching residual material onto the semiconductor substrate for a predetermined period of time based on the specific resistance or the conductivity of the solution, when an etching residual material adhering to the semiconductor substrate or the structure is removed.
    Type: Grant
    Filed: September 14, 2006
    Date of Patent: December 22, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tsuyoshi Matsumura, Yoshihiro Uozumi, Kunihiro Miyazaki
  • Patent number: 7629250
    Abstract: A method for forming at least one conductive element is disclosed. Particularly, a semiconductor substrate, including a plurality of semiconductor dice thereon, may be provided and a dielectric layer may be formed thereover. At least one depression may be laser ablated in the dielectric layer and an electrically conductive material may be deposited thereinto. Also, a method for assembling a semiconductor die having a plurality of bond pads and a dielectric layer formed thereover to a carrier substrate having a plurality of terminal pads is disclosed. At least one depression may be laser ablated into the dielectric layer and a conductive material may be deposited thereinto for electrical communication between the semiconductor die and the carrier substrate. The semiconductor die may be affixed to the carrier substrate and at least one of the dielectric layer and the conductive material may remain substantially solid during affixation therebetween. The methods may be implemented at the wafer level.
    Type: Grant
    Filed: November 17, 2006
    Date of Patent: December 8, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Peter A. Benson, Charles M. Watkins
  • Patent number: 7629251
    Abstract: For simplifying the dual-damascene formation steps of a multilevel Cu interconnect, a formation step of an antireflective film below a photoresist film is omitted. Described specifically, an interlayer insulating film is dry etched with a photoresist film formed thereover as a mask, and interconnect trenches are formed by terminating etching at the surface of a stopper film formed in the interlayer insulating film. The stopper film is made of an SiCN film having a low optical reflectance, thereby causing it to serve as an antireflective film when the photoresist film is exposed.
    Type: Grant
    Filed: June 18, 2008
    Date of Patent: December 8, 2009
    Assignee: Renesas Technology Corp.
    Inventors: Katsuhiko Hotta, Kyoko Sasahara
  • Patent number: 7618860
    Abstract: A method for fabricating a semiconductor device includes forming a first insulating layer over a substrate where a landing contact plug is formed, forming an etch barrier pattern having a line type open region over the first insulating layer, forming a second insulating layer for planarization over the etch barrier pattern, forming a contact mask having a hole type open region over the second insulating layer, performing a self-aligned contact etching process using the etch barrier pattern to etch the second insulating layer disposed under the hole type open region and the first insulating layer disposed under the line type open region to form a contact hole a bottom of which is opened above the landing contact plug, forming a storage node contact plug in the contact hole, and forming a storage node over the storage node contact plug.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: November 17, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hong-Gu Lee
  • Patent number: 7618889
    Abstract: The invention provides methods and apparatuses for fabricating a dual damascene structure on a substrate. First, trench lithography and trench patterning are performed on the surface of a substrate to etch a low-k dielectric material layer to a desired etch depth to form a trench prior to forming of a via. The trenches can be filled with an organic fill material and a dielectric hard mask layer can be deposited. Then, via lithography and via resist pattering are performed. Thereafter, the dielectric hard mask and the organic fill material are sequentially etched to form vias on the surface of the substrate, where the trenches are protected by the organic fill material from being etched. A bottom etch stop layer on the bottom of the vias is then etched and the organic fill material is striped. As a result, the invention provides good patterned profiles of the via and trench openings of a dual damascene structure.
    Type: Grant
    Filed: July 18, 2006
    Date of Patent: November 17, 2009
    Assignee: Applied Materials, Inc.
    Inventor: Mehul Naik
  • Patent number: 7615480
    Abstract: Presented are methods of fabricating three-dimensional integrated circuits that include post-contact back end of line through-hole via integration for the three-dimensional integrated circuits. In one embodiment, the method comprises forming metal plug contacts through a hard mask and a premetal dielectric to transistors in the semiconductor. The method also includes etching a hole for a through-hole via through the hard mask to the semiconductor using a patterned photoresist process, removing the patterned photoresist and using a hard mask process to etch the hole to an amount into the semiconductor. The method further includes depositing a dielectric liner to isolate the hole from the semiconductor, depositing a gapfill metal to fill the hole, and planarizing the surface of the substrate to the hard mask. Another aspect of the present invention includes three-dimensional integrated circuits fabricated according to methods of the present invention.
    Type: Grant
    Filed: June 20, 2007
    Date of Patent: November 10, 2009
    Assignee: Lam Research Corporation
    Inventors: John Boyd, Fritz Redeker, Yezdi Dordi, Hyungsuk Alexander Yoon, Shijian Li
  • Patent number: 7615484
    Abstract: An integrated circuit hard mask processing system is provided including providing a substrate having an integrated circuit; forming an interconnect layer over the integrated circuit; applying a low-K dielectric layer over the interconnect layer; applying a hard mask layer over the low-K dielectric layer; forming a via opening through the hard mask layer and the low-K dielectric layer to the interconnect layer; applying a first fluid and a second fluid in the via opening for removing an overhang of the hard mask layer; depositing an interconnect metal in the via opening; and chemical-mechanical polishing the interconnect metal and the ultra low-K dielectric layer.
    Type: Grant
    Filed: April 24, 2007
    Date of Patent: November 10, 2009
    Assignees: Chartered Semiconductor Manufacturing Ltd., Infineon Technologies North America Corp., International Business Machines Corporation
    Inventors: Wuping Liu, Michael Beck, John A. Fitzsimmons
  • Patent number: 7605085
    Abstract: First wirings and first dummy wirings are formed in a p-SiOC film formed on a substrate. A p-SiOC film is formed, and a cap film is formed on the p-SiOC film. A dual damascene wiring, including vias connected to the first wirings and the second wirings, is formed in the cap film and the p-SiOC film 22. Dummy vias are formed on the periphery of isolated vias.
    Type: Grant
    Filed: September 18, 2006
    Date of Patent: October 20, 2009
    Assignees: Renesas Technology Corp., Panasonic Corporation
    Inventors: Kazuo Tomita, Keiji Hashimoto, Yasutaka Nishioka, Susumu Matsumoto, Mitsuru Sekiguchi, Akihisa Iwasaki
  • Patent number: 7601635
    Abstract: For improving the reliability of a semiconductor device having a stacked structure of a polycrystalline silicon film and a tungsten silicide film, the device is manufactured by forming a polycrystalline silicon film, a tungsten silicide film and an insulating film successively over a gate insulating film disposed over the main surface of a semiconductor substrate, and patterning them to form a gate electrode having a stacked structure consisting of the polycrystalline silicon film and tungsten silicide film. The polycrystalline silicon film has two regions, one region formed by an impurity-doped polycrystalline silicon and the other one formed by non-doped polycrystalline silicon. The tungsten silicide film is deposited so that the resistivity of it upon film formation would exceed 1000 ??cm.
    Type: Grant
    Filed: July 3, 2007
    Date of Patent: October 13, 2009
    Assignee: Renesas Technology Corp.
    Inventors: Kentaro Yamada, Masato Takahashi, Tatsuyuki Konagaya, Takeshi Katoh, Masaki Sakashita, Koichiro Takei, Yasuhiro Obara, Yoshio Fukayama
  • Patent number: 7601641
    Abstract: Methods are provided for etching during fabrication of a semiconductor device. The method includes initially etching to partially remove a portion of one or more lithographic-aiding layers overlying an oxide layer while etching a first portion of the oxide layer in accordance with a mask formed by the one or more lithographic-aiding layers, and thereafter additionally etching to remove remaining portions of the one or more lithographic-aiding layers while etching a remaining portion of the oxide layer.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: October 13, 2009
    Assignee: Global Foundries, Inc.
    Inventors: Erik Geiss, Christopher Prindle, Sven Beyer
  • Patent number: 7598616
    Abstract: A structure. The structure includes: a core electrical conductor having a top surface, an opposite bottom surface and sides between the top and bottom surfaces; an electrically conductive liner in direct physical contact with and covering the bottom surface and the sides of the core electrical conductor, embedded portions of the electrically conductive liner in direct physical contact with and extending over the core electrical conductor in regions of the core electrical conductor adjacent to both the top surface and the sides of the core electrical conductor; and an electrically conductive cap in direct physical contact with the top surface of the core electrical conductor that is exposed between the embedded portions of the electrically conductive liner.
    Type: Grant
    Filed: June 17, 2008
    Date of Patent: October 6, 2009
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Lawrence A. Clevenger, Andrew P. Cowley, Timothy J. Dalton, Meeyoung H. Yoon
  • Patent number: 7595236
    Abstract: A short circuit with an adjacent hole is prevented. By enlarging a hole diameter in the lower part of the hole, a stable storage node is formed without causing a decrease in capacitance. Provided is a method for production of a semiconductor device, comprising the steps of: forming the second hole in the second insulating film to a depth at which a bowing shape does not occur by carrying out anisotropic etching; forming the fourth film on the side surfaces of the first and the second holes; forming the second hole of an aspect ratio greater than 12 by extending the second hole until the first insulating film is exposed by carrying out anisotropic etching; and extending by isotropic etching a side surface portion of the second hole on which the fourth film is not formed.
    Type: Grant
    Filed: November 29, 2006
    Date of Patent: September 29, 2009
    Assignee: Elpida Memory, Inc.
    Inventor: Satoru Isogai