Having Viahole Of Tapered Shape Patents (Class 438/640)
  • Publication number: 20030219973
    Abstract: This invention relates to a method of dual damascene integration for manufacture of integrating circuits using three top hard mask layers having alternating etch selectivity characteristics.
    Type: Application
    Filed: March 28, 2003
    Publication date: November 27, 2003
    Inventors: Paul H. Townsend, Lynne K. Mills, Joost J. M. Waeterloos, Richard J. Strittmatter
  • Patent number: 6653228
    Abstract: A method for forming a contact hole in a semiconductor device includes the steps of forming a polymer layer on an upper portion and a side wall of photo resist mask, while etching an oxide layer under the photoresist mask to form a contact hole that uses an etchant gas comprising CH2F2 gas; and etching the oxide layer while stopping the supply of CH2F2 gas to the etching process.
    Type: Grant
    Filed: November 29, 2001
    Date of Patent: November 25, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Gil Choi, Tae-Hyuk Ahn
  • Patent number: 6653176
    Abstract: A method for manufacturing an x-ray detector comprises the steps of: preparing an insulating substrate; forming a gate and a pad on the insulating substrate; forming a gate insulating film, an amorphous silicon layer and an etch stopper over the insulating substrate, inclusive of the gate and the pad; simultaneously forming a channel layer, an ohmic contact layer and a source/drain over the gate insulating film, inclusive of the etch stopper, and a common electrode over a proper portion of the gate insulating film; forming a first storage electrode over the gate insulating film, inclusive of the common electrode; forming a protective layer over the entire structure of the insulating substrate on which the source/drain and the first storage electrode have been formed, and subsequently forming a contact hole and via holes over a proper portion of the protective layer; and forming a second storage electrode over the protective layer.
    Type: Grant
    Filed: December 11, 2002
    Date of Patent: November 25, 2003
    Assignee: Boe-Hydis Technology Co., Ltd.
    Inventors: Hyun Jin Kim, Seung Moo Rim, Jin Hui Cho, Kyoung Seok Son
  • Patent number: 6649517
    Abstract: A new method and structure is provided for the creation of interconnect lines. The cross section of the interconnect lines of the invention, taken in a plane that is perpendicular to the longitudinal direction of the interconnect lines, is a triangle as opposed to the conventional square or rectangular cross section of interconnect lines.
    Type: Grant
    Filed: May 18, 2001
    Date of Patent: November 18, 2003
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Young-Way Teh, Victor Seng Keong Lim, Ting Cheong Ang
  • Publication number: 20030203620
    Abstract: A dual-damascene process for forming an integrated circuit structure is described. The process includes forming a trench in a dielectric substrate, and forming a via mask layer over the dielectric substrate and the trench. An aperture is formed in the via mask layer overlying the trench, thereby exposing a portion of the underlying dielectric substrate. The exposed portion of the dielectric substrate is subjected to an ion beam to damage the exposed dielectric material. The damaged portion of the dielectric substrate is then removed, such as by etching, thereby forming a via cavity below the trench in the dielectric substrate. Generally, the damaged portion of the dielectric substrate etches at a faster rate than do adjacent non-damaged regions. With a faster etch, there is practically no outward spreading of the via cavity as the etch proceeds downward through the dielectric substrate, thereby forming a via cavity wall that is very nearly vertical.
    Type: Application
    Filed: March 27, 2003
    Publication date: October 30, 2003
    Applicant: LSI Logic Corporation
    Inventor: Charles E. May
  • Patent number: 6638853
    Abstract: A method for improving a photolithographic patterning process to avoid undeveloped photoresist contamination in a semiconductor manufacturing process including providing a semiconductor wafer having a process surface including a first anisotropically etched opening extending through a semiconductor wafer thickness portion including an underlying dielectric insulating layer; blanket depositing a polymeric resinous layer over the semiconductor wafer process surface to include filling the first anisotropically etched opening; curing the polymeric resinous layer by exposing the polymeric resinous layer to at least one of thermal or photonic energy to initiate polymer cross linking; chemically mechanically polishing (CMP) the polymeric resinous layer to substantially remove the polymeric resinous layer thickness above the process surface; and, forming a photolithographically patterned photoresist layer over the process surface for forming a second anisotropically etched opening overlying and encompassing the first
    Type: Grant
    Filed: July 3, 2002
    Date of Patent: October 28, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co. Ltd.
    Inventors: Hung-Wen Sue, Chung-Shi Liu, Wen-Chin Chiou, Keng-Chu Lin
  • Patent number: 6620727
    Abstract: An aluminum hardmask (106, 214) is used for etching a dielectric layer (102, 210). A fluorine-based etch is used that does not etch the aluminum hardmask (106, 210). The aluminum hardmask (106, 214) is then removed by CMP.
    Type: Grant
    Filed: August 23, 2001
    Date of Patent: September 16, 2003
    Assignee: Texas Instruments Incorporated
    Inventor: Kenneth D. Brennan
  • Publication number: 20030168746
    Abstract: A plurality of gate lines are formed on a substrate. After depositing a gate insulating layer, a semiconductor layer and a doped amorphous silicon layer are sequentially formed thereon. A lower insulating layer made of silicon nitride and an upper insulating layer made of a photosensitive organic material are deposited thereon after forming data lines and drain electrodes. The upper insulating layer is patterned to form an unevenness pattern on its surface and contact holes on the drain electrodes. The lower insulating layer is patterned together with the gate insulating layer using a photoresist pattern having apertures located in the contact holes to form other contact holes respectively exposing the drain electrodes, portions of the gate lines, and portions of the data lines.
    Type: Application
    Filed: January 13, 2003
    Publication date: September 11, 2003
    Applicant: Samsung Electronics Co., Ltd.
    Inventor: Chun-Gi You
  • Patent number: 6617211
    Abstract: A process for fabricating a crown-cell capacitor in a memory integrated circuit. The process includes the step of forming a transistor having a contact region 353 at a surface of a semiconductor substrate 300. The transistor, with the exception of the contact region, is covered with a first material 362, 366 and the first material and the contact region are then covered with a layer of a second material 370. The portion of the second layer covering the contact region is removed to expose the contact region so that the removal of the portions of the second layer forms a cavity characterized by a bottom formed of the first material and sides formed of the second material. Further steps in the process include forming a first conductive layer 372 in the cavity to contact the contact region and conform to the bottom and sides, forming a dielectric layer 376 over the first conductive layer, and forming a second conductive layer 378 over the dielectric layer.
    Type: Grant
    Filed: November 14, 1997
    Date of Patent: September 9, 2003
    Assignee: Texas Instruments Incorporated
    Inventor: Takayuki Niuya
  • Publication number: 20030148600
    Abstract: Conductive layers are formed in the trenches made in an insulating film in the following manner. First, an amorphous silicon film 26A is deposited in the trenches 25 made in a silicon oxide film 24. A photoresist film 30 is then formed on the amorphous silicon film 26A by means of spin coating. Then, exposure light is applied to the entire surface of the photoresist film 30, thereby exposing to light those parts of the photoresist film 30 which lie outside the trenches 25. The other parts of the photoresist film 30, which lie in the trenches 25 are not exposed to light because the light reaching them is inadequate. Further, the photoresist film 30 is developed thereby removing those parts of the film 30 which lie outside the trenches 25 and which have been exposed to light. Thereafter, those parts of the amorphous silicon film 26A, which lie outside the trenches 25, are removed by means of dry etching using, as a mask, the unexposed parts of the photoresist film 30 which remain in the trenches 25.
    Type: Application
    Filed: February 19, 2003
    Publication date: August 7, 2003
    Applicant: Hitachi, Ltd.
    Inventors: Ryouichi Furukawa, Kazuyuki Suko, Masayuki Hiranuma, Koichi Saitoh, Hirohiko Yamamoto, Tadanori Yoshida, Masayuki Ishizaka, Maki Shimoda
  • Publication number: 20030141600
    Abstract: A method of manufacturing a printed circuit board through-hole connection includes forming a through-hole by removing material from the first side of the printed circuit board until the backing and then slightly into the first side of the backing providing a hole. Next, plating through the hole connecting the backing layer, ground layer, and signal layer. Now the plating of the signal layer is removed without removing the connection from the ground layer to the backing. Finally, the hole is filled from the first side of the printed circuit board.
    Type: Application
    Filed: January 30, 2002
    Publication date: July 31, 2003
    Inventor: Leendert J. van der Windt
  • Patent number: 6596616
    Abstract: A method and apparatus for decreasing contact resistance between a ohmic contact (120) and a semiconductor material (106) are disclosed. Increased contact resistance, which occurs as a result of encroachment of the ohmic contact (120) into the semiconductor material (106) is compensated for by notching edges of the ohmic contact (1210) to increase the effective surface area between abutting surfaces of the ohmic contact (120) and semiconductor material (106). The increase in surface area increases the effective transfer length of the contact, which correspondingly reduces contact resistance and improves device performance.
    Type: Grant
    Filed: April 19, 2002
    Date of Patent: July 22, 2003
    Assignee: Motorola, Inc.
    Inventors: Paige M. Holm, Olin L. Hartin, H. Philip Li
  • Publication number: 20030134505
    Abstract: A method is described for forming a metal pattern in a low-dielectric constant substrate. A hardmask is prepared which includes a low-k lower hardmask layer and a top hardmask layer. The top hardmask layer is a sacrificial layer with a thickness of about 200 Å, preferably formed of a refractory nitride, and which serves as a stopping layer in a subsequent CMP metal removal process. The patterning is performed using resist layers. Oxidation damage to the lower hardmask layer is avoided by forming a protective layer in the hardmask, or by using a non-oxidizing resist strip process.
    Type: Application
    Filed: January 17, 2002
    Publication date: July 17, 2003
    Applicant: International Business Machines Corporation
    Inventors: Timothy J. Dalton, Minakshisundaran B. Anand, Michael D. Armacost, Shyng-Tsong Chen, Stephen M. Gates, Stephen E. Greco, Simon M. Karecki, Satyanarayana V. Nitta, Anna Karecki
  • Patent number: 6593235
    Abstract: A semiconductor device having an improved contact hole through an interlayer insulator. A first insulating film comprising silicon nitride is deposited. A second insulating film comprising silicon oxide is deposited on the first insulating film. The deposition condition of the second insulating film is varied during the deposition so that the etching rate of the second insulating film increases from a lower portion toward an upper portion. Thereby, a contact hole which is formed by etching through the first and second insulating films has a tapered configuration to improve a reliability of a connection made therein.
    Type: Grant
    Filed: April 18, 2002
    Date of Patent: July 15, 2003
    Assignee: Semiconductor Energy Laboratory Co., Ltd
    Inventors: Hideki Uochi, Masahiko Hayakawa, Mitsunori Sakama, Toshimitsu Konuma, Shunpei Yamazaki
  • Patent number: 6593185
    Abstract: A method for fabricating a vertical three-dimensional metal-insulator-metal capacitor (MIM capacitor) structure is disclosed. The present invention utilized a vertical three-dimensional MIM capacitor structure on the substrate to decrease the structure area of the MIM capacitor in logic integrated circuit and integration for copper dual damascene process at an identical capacitance on a chip; therefore, the capacitance density of the vertical three-dimensional capacitor can be increased. Furthermore, the present invention is provided a method for fabricating the vertical three-dimensional MIM capacitor structure that compatible with the fabrication of the copper dual damascene structure such that the number of the photomask during the fabrication process can be reduced.
    Type: Grant
    Filed: May 17, 2002
    Date of Patent: July 15, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Teng-Chun Tsai, Chia-Lin Hsu, Yi-Fang Cheng, Yi-Hsiung Lin
  • Patent number: 6589882
    Abstract: The invention includes a method of cleaning a surface of a copper-containing material by exposing the surface to an acidic mixture comprising NO3−, F− and one or more organic acid anions having carboxylate groups. The invention also includes a semiconductor processing method of forming an opening to a copper-containing material. A mass is formed over a copper-containing material within an opening in a substrate. The mass contains at least one of an oxide barrier material and a dielectric material. A second opening is etched through the mass into the copper-containing material to form a base surface of the copper-containing material that is at least partially covered by particles comprising at least one of a copper oxide, a silicon oxide or a copper fluoride. The base surface is cleaned with a solution comprising nitric acid, hydrofluoric acid and one or more organic acids to remove at least some of the particles.
    Type: Grant
    Filed: October 24, 2001
    Date of Patent: July 8, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Michael T. Andreas, Paul A. Morgan
  • Patent number: 6586327
    Abstract: Fabrication of microelectronic devices is accomplished using a substrate having a recessed pattern. In one approach, a master form is used to replicate a substrate having a pit pattern. In another approach, the substrate is produced by etching. A series of stacked layers having desired electrical characteristics is applied to the substrate and planarized in a manner that creates electrical devices and connections therebetween. The microelectronic devices can include a series of row and columns and are used to store data at their intersection.
    Type: Grant
    Filed: September 27, 2001
    Date of Patent: July 1, 2003
    Assignee: NUP2 Incorporated
    Inventor: Daniel R. Shepard
  • Publication number: 20030119308
    Abstract: A sloped via contact is used to connect a contact on the front side of a wafer to a contact on the back side of the wafer. The walls of a small (less than 50-80 microns wide) via have typically been difficult to coat with metal. The present invention forms a small via with sloped walls, allowing easy access to the inside walls of the via for metal sputtering or plating. The small via can be formed using a dry etch process such as the well-known deep reactive ion etching (DRIE) process. Using any isotropic plasma etch process, the walls of the via are further etched from the wafer backside to create sloped walls in the via. The via is then coated with metal to make it conductive.
    Type: Application
    Filed: December 20, 2001
    Publication date: June 26, 2003
    Inventors: Frank S. Geefay, Qing Gan
  • Patent number: 6583055
    Abstract: A method of forming a stepped contact trench with doped trench sidewalls for shutting off parasitic edge transistors.
    Type: Grant
    Filed: January 25, 2002
    Date of Patent: June 24, 2003
    Assignee: Powerchip Semiconductor Corp.
    Inventor: Chien-Lung Chu
  • Publication number: 20030114000
    Abstract: Provided is a manufacturing method of a semiconductor device which comprises (a) depositing a first insulating film over a wafer, (b) forming an interconnect opening in the first insulating film, (c) forming, in the interconnect opening, an interconnect having a conductor film comprised mainly of copper, (d) forming a taper at a corner of said conductor film on the opening side of the interconnect opening, and (e) depositing a second insulating film over the first insulating film and interconnect. The present invention makes it possible to improve dielectric breakdown strength between interconnects each having a main conductor film comprised mainly of copper.
    Type: Application
    Filed: November 14, 2002
    Publication date: June 19, 2003
    Applicant: Hitachi, Ltd.
    Inventor: Junji Noguchi
  • Patent number: 6576548
    Abstract: Reliable contacts/vias are formed by sputter etching to flare exposed edges of an opening formed in a dielectric layer, depositing a composite barrier layer and then filling the opening with tungsten at a low deposition rate. The resulting contact/via exhibits significantly reduced porosity and contact resistance. Embodiments include sputter etching to incline the edges of an opening formed in an oxide dielectric layer, e.g., a silicon oxide derived from TEOS or BPSG, at an angle of about 83° to about 86°, depositing a thin layer of Ti, e.g., at a thickness of about 250 Å to about 350 Å, depositing at least one layer of titanium nitride, e.g., three layers of titanium nitride, at a total thickness of about 130 Å to about 170 Å, and then depositing tungsten at a deposition rate of about 1,900 to about 2,300 Å/min to fill the opening.
    Type: Grant
    Filed: February 22, 2002
    Date of Patent: June 10, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Amy Tu, Minh Van Ngo, Austin Frenkel, Robert J. Chiu, Jeff Erhardt
  • Publication number: 20030098478
    Abstract: A field effect transistor contains a gate stack with a first layer, preferably a polysilicon layer, on a gate oxide disposed on a substrate, and over the first layer, a second layer, preferably a silicide layer, is provided. Next to the gate electrode is a contact that is separated from the layers of the gate electrode by a layer containing silicon and a spacer layer. Therefore a recrystallization in the silicide layer at elevated temperatures is prevented, which would otherwise cause bulging of the silicide layer toward the contact. It thus prevents shorts between the gate electrode and the contact.
    Type: Application
    Filed: November 25, 2002
    Publication date: May 29, 2003
    Inventors: Dirk Tobben, Thomas Schuster
  • Publication number: 20030100176
    Abstract: A metal via contact of a semiconductor device and a method for fabricating the same, wherein the method includes sequentially forming a first insulating layer, a low dielectric SOG (Spin On Glass) layer, a second insulating layer and a silicon oxynitride (SiON) layer on a semiconductor substrate, forming a photoresist pattern, using the photoresist pattern as an etching mask and wet etching the silicon oxynitride layer and a portion of the second insulating layer, using the same photoresist pattern as an etching mask and anisotropically etching remainder second insulating layer, the low dielectric SOG layer and the first insulating layer to form a via hole exposing a predetermined portion of the semiconductor substrate, removing the photoresist pattern, using radio frequency (RF) etching to remove a reverse slope of the via hole and forming a metal plug in the via hole.
    Type: Application
    Filed: December 9, 2002
    Publication date: May 29, 2003
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Won-Jin Kim, Seong-Ho Kim
  • Patent number: 6566176
    Abstract: A transistor device on an SOI wafer includes a metal connect that is in contact with an underside (a bottom surface) of a body of the device. A part of the metal connect is between an active semiconductor region of the device and an underlying buried insulator layer. The metal connect is also in contact with a source of the device, thereby providing some electrical coupling between the source and the body, and as a result reducing or eliminating floating body effects in the device. A method of forming the metal interconnect includes etching away part of the buried insulator layer, for example by lateral etching or isotropic etching, and filling with metal, for example by chemical vapor deposition.
    Type: Grant
    Filed: July 16, 2002
    Date of Patent: May 20, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Darin A. Chan
  • Patent number: 6566241
    Abstract: A method of forming metal contacts in a semiconductor device having an active metal contact region and a bit line contact region is provided. In the method, a contact pad is formed in the active metal contact region and the bit line contact region using a conductive plug. An etch stopper is formed on the upper sides of the conductive plug. A portion of a lower interlayer dielectric layer is etched so that the etch stopper protrudes above the lower interlayer dielectric layer. A bit line stack is formed in the bit line contact region. An etch stopper is formed in the active metal contact region. An upper interlayer dielectric layer is etched to expose the surfaces of the etch stopper and bit line capping layer pattern of the bit line stack. The exposed surfaces of the etch stopper and bit line capping layer pattern are etched to form a contact hole which exposes the conductive plug and a bit line conductive layer of the bit line stack. The contact hole is filled with a conductive layer.
    Type: Grant
    Filed: November 26, 2001
    Date of Patent: May 20, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Yoon-soo Chun
  • Publication number: 20030092247
    Abstract: A process of forming an anti-fuse. First, an inter-metal dielectric layer, in which a funnel-shaped via is formed, is formed on a substrate. Next, a first conductive layer is formed over the substrate and filled into the funnel-shaped via. Subsequently, by, for example, a chemical mechanical polishing process, the first conductive layer outside the funnel-shaped via is removed to form a conductive plug. Afterward, an oxide chemical mechanical polishing process is performed to smooth the surface of the conductive plug. Next, a dielectric layer is formed on the top side of the conductive plug, and then a top plate is formed on the dielectric layer. Subsequently, an insulating layer is formed over the substrate, wherein the insulating layer is provided with a via and the via exposes the top plate. Finally, a second conductive layer is formed over the substrate and filled into the via.
    Type: Application
    Filed: November 30, 2001
    Publication date: May 15, 2003
    Inventors: Tsong-Minn Hsieh, Ruey Jiunn Guo
  • Patent number: 6559048
    Abstract: Via poisoning of vias formed in low k carbon-containing silicon oxide dielectric material is suppressed by forming the via in a layer of such dielectric material with a smooth inwardly sloped sidewall. Such a sloped sidewall via can be etched in a low k dielectric layer by first forming a via resist mask over the upper surface of such a dielectric layer, then heat treating the mask sufficiently to deform the sidewall geometry of the resist mask to form a sloped sidewall on the opening or openings in the heat treated resist mask. The resulting erosion of such a resist mask, during a subsequent etch step to form the via in the low k dielectric material through such a sloped sidewall resist mask, imparts a tapered or sloped sidewall geometry to the via which is then formed in the underlying layer of low k dielectric material.
    Type: Grant
    Filed: May 30, 2001
    Date of Patent: May 6, 2003
    Assignee: LSI Logic Corporation
    Inventors: Yong-Bae Kim, Philippe Schoenborn, Kai Zhang
  • Patent number: 6551930
    Abstract: A method for etching an organic dielectric material layer includes depositing an inorganic barrier layer on the organic dielectric material layer, and depositing an inorganic masking layer on the inorganic barrier layer. A masking resin layer is deposited on the inorganic masking layer. The method further includes patterning the masking resin layer and etching through the inorganic masking layer to expose the inorganic barrier layer. Remaining portions of the masking resin layer are removed, and the exposed inorganic barrier layer is etched to expose the organic dielectric material layer. The method further includes removing remaining portions of the inorganic masking layer, and etching the exposed organic dielectric material layer while using the inorganic barrier layer as a mask.
    Type: Grant
    Filed: June 7, 2000
    Date of Patent: April 22, 2003
    Assignee: STMicroelectronics S.A.
    Inventors: Françoise Vinet, Yves Morand
  • Patent number: 6551923
    Abstract: A method of forming a contact in an integrated circuit is disclosed herein. The method includes providing a first insulating layer over a semiconductor substrate including first and second gate structures, providing an etch stop layer over the first insulating layer, providing a second insulating layer over the etch stop layer, creating a first aperture in the second insulating layer between the first and second gate structures, creating a second aperture in the first insulating layer below the first aperture, and filling the first and second apertures with a conductive material to form the contact. The first aperture has a first aperture width and extends to the etch stop layer. The second aperture has a second aperture width which is less than the first aperture width.
    Type: Grant
    Filed: November 1, 1999
    Date of Patent: April 22, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jeffrey A. Shields, Bharath Rangarajan
  • Patent number: 6541864
    Abstract: In a semiconductor device having a wire structure, the thickness of a first insulation film substantially corresponds to the depth of a contact hole. A surface of a second insulation film serves as a bottom face of a wire groove. Regarding the contact hole, only a side wall portion intersecting a direction of the wire groove has a substantial taper angle. This configuration can be attained under conditions where an etching selectivity of the first insulation film to the second insulation film is set to be slightly lower and a portion of the second insulation film where a opening edge of an opening portion is exposed is slightly etched during etching process of the wire groove. With a semiconductor device having this structure, a conductive material embedding characteristic can be enhanced, while preventing possibility of short-circuit even when an interval between wires is reduced.
    Type: Grant
    Filed: September 24, 1999
    Date of Patent: April 1, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yoshiaki Fukuzumi
  • Publication number: 20030054622
    Abstract: A method of fabricating a semiconductor device is advantageous in preventing occurrence of an erroneous short-circuit and a withstand voltage failure in a connection hole and preventing occurrence of a failure at the time of burying a connection hole with a metal. A silicon carbo-nitride film is formed on a conductor or an interconnection of a Damascene structure formed on a silicon substrate (S1), the silicon carbo-nitride film is taken as a side wall or an interlayer insulating film (S2), a silicon oxide film is formed on the silicon carbo-nitride film (S3), the upper side silicon oxide film is etched using the lower side silicon carbo-nitride film as an etching stopper layer (S4), and a connection hole is formed (S5).
    Type: Application
    Filed: October 8, 2002
    Publication date: March 20, 2003
    Inventor: Ikuhiro Yamamura
  • Publication number: 20030045095
    Abstract: A method for filling recessed micro-structures at a surface of a semiconductor wafer with metallization is set forth. In accordance with the method, a metal layer is deposited into the micro-structures with a process, such as an electroplating process, that generates metal grains that are sufficiently small so as to substantially fill the recessed micro-structures. The deposited metal is subsequently subjected to an annealing process at a temperature below about 100 degrees Celsius, and may even take place at ambient room temperature to allow grain growth which provides optimal electrical properties.
    Type: Application
    Filed: June 12, 2001
    Publication date: March 6, 2003
    Applicant: Semitool, Inc.
    Inventors: Thomas L. Ritzdorf, Lyndon W. Graham, Robert W. Batz
  • Patent number: 6528349
    Abstract: Compliant wafer level packages 10 and methods for monolithically fabricating the same. A monolithically fabricated compliant wafer level package 10 having a compliant layer 14 and a compliant interconnect 30 passing therein. The compliant interconnects 30 being provided so that electrical and mechanical connections may be supported across the compliant layer 14, and constructed so that stresses related to relative motion between electrical components is accommodated. A method of providing a substrate 10 having a compliant layer 14, the compliant layer 14 having a via 20 that exposes a die pad 12 on the substrate 10. Fabricating a compliant interconnect 30 so that the compliant interconnect 30 contacts the die pad 12. The compliant interconnect 30 constructed so that electrical and mechanical connections may be supported through the compliant layer 14.
    Type: Grant
    Filed: October 26, 2000
    Date of Patent: March 4, 2003
    Assignee: Georgia Tech Research Corporation
    Inventors: Chirag S. Patel, Kevin Martin, James D. Meindl
  • Patent number: 6524947
    Abstract: A method of manufacturing a semiconductor structure, including etching an opening in a hard mask layer including a trench pattern width a first portion having a first width and a second portion being an oversized trench portion having a second width greater than a width of the first portion, the second portion being formed over a predetermined via location. Also including are steps of depositing a resist and patterning a via pattern in the predetermined via location, etching a via corresponding to the via pattern through the resist and at least partially through a dielectric layer, and etching an oversized trench portion corresponding to a second portion opening in the hard mask.
    Type: Grant
    Filed: February 1, 2001
    Date of Patent: February 25, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ramkumar Subramanian, Todd P. Lukanc, Fei Wang
  • Patent number: 6524949
    Abstract: There is formed on a semiconductor substrate a lamination of a first insulating film of nondoped silicon glass or the like and, on this first insulating film, a second insulating film of boron phosphor silicate glass or the like, with a conductor layer between the two insulating films. A hole is first dry-etched in the second insulating film, leaving the substrate surface covered by the first insulating film. Then the second insulating film is heated to a reflow temperature such that the hole is thermally deformed, flaring as it extends away from the insulating film. Then a second hole is dry-etched in the first insulating film through the first recited hole in the second insulating film, with the consequent exposure of the semiconductor surface. Then a contract electrode is fabricated by filling the first and the second hole with an electroconductive material into direct contact with the substrate surface.
    Type: Grant
    Filed: October 29, 2001
    Date of Patent: February 25, 2003
    Assignee: Sanken Electric Co., Ltd.
    Inventors: Shuichi Kaneko, Hironori Aoki, Akio Iwabuchi
  • Patent number: 6525428
    Abstract: Improved etch selectivity, barrier metal wetting and reduced interconnect capacitance are achieved by implementing damascene processing employing a graded middle etch stop layer comprising a first silicon carbide layer, a silicon-rich layer on the first silicon carbide, and a second silicon carbide layer on the silicon-rich layer. Embodiments include sequentially depositing a porous low-k dielectric layer over a lower capped Cu line, depositing the graded middle-etch stop layer, depositing a porous low-k dielectric layer on the graded middle-etch stop layer, forming a dual damascene opening exposing the silicon-rich surface at the bottom of the trench opening, depositing a seed layer, depositing a barrier middle layer, such as Ta or a Ta/TaN composite, and filling the opening with Cu.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: February 25, 2003
    Assignee: Advance Micro Devices, Inc.
    Inventors: Minh Van Ngo, Steven C. Avanzino, Christy Mei-Chu Woo, John E. Sanchez
  • Publication number: 20030036260
    Abstract: This invention provides a method for manufacturing a semiconductor device capable of forming a fine interconnection structure without making the resistance at the through hole high. More specifically, the present invention provides a semiconductor device having a first interconnection formed on the surface of a first layer insulating film and a second interconnection provided on the upper part of the first interconnection and electrically connected to the first interconnection, and wherein the first interconnection is formed so that the width of the lower part may become narrower than that of the upper part.
    Type: Application
    Filed: April 17, 2002
    Publication date: February 20, 2003
    Inventor: Makiko Nakamura
  • Publication number: 20030032281
    Abstract: Thin films are formed by atomic layer deposition, whereby the composition of the film can be varied from monolayer to monolayer during cycles including alternating pulses of self-limiting chemistries. In the illustrated embodiments, varying amounts of impurity sources are introduced during the cyclical process. A graded gate dielectric is thereby provided, even for extremely thin layers. The gate dielectric as thin as 2 nm can be varied from pure silicon oxide to oxynitride to silicon nitride. Similarly, the gate dielectric can be varied from aluminum oxide to mixtures of aluminum oxide and a higher dielectric material (e.g., ZrO2) to pure high k material and back to aluminum oxide. In another embodiment, metal nitride (e.g., WN) is first formed as a barrier for lining dual damascene trenches and vias. During the alternating deposition process, copper can be introduced, e.g.
    Type: Application
    Filed: September 23, 2002
    Publication date: February 13, 2003
    Inventors: Christiaan J. Werkhoven, Ivo Raaijmakers, Suvi P. Haukka
  • Patent number: 6518596
    Abstract: A simple thin film provided on a substrate which supports a semiconductor device structure, over which is formed a dielectric barrier and a composite metal film contact structure. Contacts are formed by creating holes in the dielectric barrier at locations where contact to an upper region of the semiconductor material is required, and then forming a first metal film extending into the holes to contact a top region of the semiconductor structure. A second set of holes are created to expose an underlying opposite polarity region. Surfaces at the second holes are doped and a second metal film is formed to contact the underlying semiconductor region. The metal structure is then scribed to isolate the contacts to the upper and lower semiconductor regions.
    Type: Grant
    Filed: May 6, 2002
    Date of Patent: February 11, 2003
    Assignee: Pacific Solar Pty Ltd.
    Inventor: Paul Alan Basore
  • Patent number: 6518175
    Abstract: An integrated circuit fabrication process to pattern reduced feature size is disclosed herein. The process includes reducing the width of a patterned area of a patterned photoresist layer provided over a substrate before patterning the substrate. The patterned area is representative of a feature to be formed in the substrate. The width of the feature is reduced by an electron beam mediated heating and flowing of select areas of the patterned photoresist layer.
    Type: Grant
    Filed: January 29, 2001
    Date of Patent: February 11, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Uzodinma Okoroanyanwu
  • Patent number: 6514858
    Abstract: A test structure useful in controlling a polishing process of a semiconductor device is provided. The test structure is comprised of a structure layer, a first process layer, and interconnects. The first process layer is positioned above the structure layer and has a plurality of openings formed therein and extending at least partially therethrough to a preselected depth. At least a portion of the plurality of openings have a tapered region progressively narrowing in a direction from the first process layer toward the structure layer. The openings are spaced a preselected distance X apart. The interconnects are formed in the plurality of openings including the tapered region. Thus, as the process layer and interconnects are removed by the polishing process, the distance X increases, indicating the depth of the polishing process.
    Type: Grant
    Filed: April 9, 2001
    Date of Patent: February 4, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Frederick N. Hause, Paul R. Besser, Frank Mauersberger, Errol Todd Ryan, William S. Brennan, John A. Iacoponi, Peter J. Beckage
  • Publication number: 20030022486
    Abstract: The present invention provides a method to prevent short of contact and metal lines. The method is applied in a substrate formed with a number of contact windows. The method is comprised of: (a) forming a first conductive layer in the contact windows without filling up the contact windows; (b) forming liners in the contact windows to reduce the openings of the contact windows; (c) forming liner trenches in the contact windows; and (d) forming a second conduction layer on top of the first conductive layer in the contact windows. According to this invention, shorts between contact windows and metal lines is effectively prevented. Therefore, the product yield is greatly improved.
    Type: Application
    Filed: March 13, 2002
    Publication date: January 30, 2003
    Applicant: ProMOS Technologies Inc.
    Inventor: Joseph Wu
  • Patent number: 6511902
    Abstract: The present invention generally relates to provide a fabrication method for forming a rounded corner of a contact window or a via by using a two-step light etching technique. In the present invention, after the etching process to form the contact window or the via, an object of the invention is to utilize oxygen plasma and fluorocarbon plasma of the two-step light etching technique to produce the rounded corner of the window or via so as this rounded opening profile of the contact window or the via can supply for following metal-filling processes.
    Type: Grant
    Filed: March 26, 2002
    Date of Patent: January 28, 2003
    Assignee: Macronix International Co., Ltd.
    Inventors: Ming-Chung Liang, Shin-Yi Tsai
  • Patent number: 6509259
    Abstract: The invention relates to cured dielectric films and a process for their manufacture which are useful in the production of integrated circuits. Dual layered dielectric films are produced in which a lower layer comprises a non-silicon containing organic polymer and an upper layer comprises an organic, silicon containing polymer. Such films are useful in the manufacture of microelectronic devices such as integrated circuits (IC's). In one aspect the upper layer silicon containing polymer has less than 40 Mole percent carbon containing substituents, and in another aspect it has at least approximately 40 Mole percent carbon containing substituents.
    Type: Grant
    Filed: June 9, 1999
    Date of Patent: January 21, 2003
    Assignee: AlliedSignal Inc.
    Inventors: Shi-Qing Wang, Jude Dunne, Lisa Figge
  • Publication number: 20030011076
    Abstract: A semiconductor device having a self-aligned contact and a method for forming the same, including a semiconductor substrate having a self-aligned contact region and a non-self-aligned contact region; a self-aligned contact exposing a portion of the self-aligned contact region; a first insulating layer formed on the semiconductor substrate that exposes the self-aligned contact; conductive patterns formed on the first insulating layer and spaced apart from each other; spacers formed on sidewalls of each of the conductive patterns; a second insulating layer formed over the first insulating layer that exposes the self-aligned contact; a third insulating layer formed between the second insulating layer and the spacer; a fourth insulating layer formed over the non-self-aligned contact region and on sidewalls of the spacers over the self-aligned contact region; and a fifth insulating layer formed on a portion of the fourth insulating layer over the non-self-aligned contact region.
    Type: Application
    Filed: July 12, 2002
    Publication date: January 16, 2003
    Inventors: Jun Seo, Tae-Hyuk Ahn, Myeong-Cheol Kim
  • Patent number: 6506674
    Abstract: A hole is formed on an insulating film made of silicon oxide by selectively plasma-etching the insulating film with an etching gas containing C5F8, O2, and Ar firstly under a condition in which the deposition property of a polymer layer is weak and secondly under a condition in which that of the polymer layer is strong.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: January 14, 2003
    Assignees: Hitachi, Ltd., NEC Corporation
    Inventors: Takenobu Ikeda, Masahiro Tadokoro, Masaru Izawa, Takashi Yunogami
  • Patent number: 6503822
    Abstract: A method of de-oxidizing a surface onto which a refractory metal or molecule which contains a refractory metal atom will be adhered. The method utilizes a plasma which includes a gas such as argon, nitrogen, helium or hydrogen, or a mixture of any of the foregoing, to remove oxygen molecules from the surface to which adherence of the refractory metal is desired. Radicals in the plasma coat the surface to prevent further oxidation thereof. The method also includes techniques for depositing refractory metals onto a surface such as a substrate or layer of semiconductor material on which integrated circuitry has been fabricated.
    Type: Grant
    Filed: April 6, 2001
    Date of Patent: January 7, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Weimin Li
  • Publication number: 20030003761
    Abstract: A method of forming openings in the dielectric layer. The method includes an ion implantation step to reduce a lateral etching in a chemical vapor etching step, and to provide a high etching selectivity ratio of the dielectric layer to a mask. The dry etching process is partially substituted by the chemical vapor etching step, so that an opening having a straight profile is formed in the dielectric layer. Consequently, problems, such as loss of critical dimension and striation of the opening caused by loss of the mask can be effectively ameliorated.
    Type: Application
    Filed: January 17, 2001
    Publication date: January 2, 2003
    Inventors: Yun-Kuei Yang, Yi-Ming Chang
  • Publication number: 20030003718
    Abstract: The present invention discloses methods for fabricating a semiconductor device. In one embodiment, a conductive interconnection is formed on a semiconductor substrate to overlap with a mask insulating film pattern. An insulating film spacer is formed at side walls of the pattern, a high temperature oxide layer is formed on the resultant structure, and an interlayer insulating film is formed on the HTO film to planarize the surface of the resultant structure. Storage electrode and bit line contact holes are formed to expose the semiconductor substrate, by etching the interlayer insulating film according to a photolithography process using a contact mask. A landing plug poly is formed by depositing a conductive layer for a contact plug to fill up the contact holes.
    Type: Application
    Filed: June 25, 2002
    Publication date: January 2, 2003
    Applicant: Hynix Semiconductor Inc.
    Inventors: Hyung Soon Park, Jong Goo Jung
  • Publication number: 20020192939
    Abstract: In a method of manufacturing a contact element, provision is made of a laminated body which has an insulating film, an electrically conductive layer stacked on the insulating film, and bump holes opened. A treatment is carried out so as to remove organic materials and the like from an interior of the bump holes and/or a surface of the insulating film before bumps are formed on the bump holes. The treatment may be a plasma treatment or an X-ray irradiation.
    Type: Application
    Filed: June 14, 2002
    Publication date: December 19, 2002
    Applicant: HOYA CORPORATION
    Inventor: Osamu Sugihara