Having Viahole Of Tapered Shape Patents (Class 438/640)
  • Patent number: 7482266
    Abstract: A dual damascene process is provided. A dielectric layer is formed on a substrate and then a via opening is formed in the dielectric layer to expose a liner formed on the substrate. A gap fill (GF) layer is filled into the via opening and a resistant layer is formed on the substrate. A photolithographic process and an etching process are performed to form a trench in the dielectric layer and to remain the gap fill material having a top surface with a convex shape. In the etching process, an etching rate of the gap fill material layer is larger than that of the resistant layer. The gap fill material, the resistant layer, and the liner exposed by the via opening are removed. A conductive layer fills out the trench and the via opening. This invention is focusing on controlling etch-rate to avoid shielding effect when forming the composite opening.
    Type: Grant
    Filed: February 15, 2007
    Date of Patent: January 27, 2009
    Assignee: United Microelectronics Corp.
    Inventor: Hong Ma
  • Patent number: 7482267
    Abstract: A spin on glass SOG layer 30 is formed, then a PECVD barrier layer 40 over the SOG layer. Holes 50 in the SOG layer for vias are formed with a wine glass profile, so that in a peripheral region around the periphery of the holes, the barrier layer is thinner or absent, and ion implantation is performed substantially perpendicular to the layers, to reach the SOG layer through the barrier layer preferentially in the peripheral region. This enables the implantation to be concentrated on the peripheral region, without the need for implantation at a high angle and wafer rotation. This enables the manufacturing process to be simplified and hence costs reduced. By concentrating the implantation in the peripheral region where it can reduce moisture transfer to material in the holes, there is less risk of deplanarization due to the SOG shrinkage associated with ion implantation.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: January 27, 2009
    Assignee: AMI Semiconductor Belgium BVBA
    Inventor: Peter Coppens
  • Patent number: 7476614
    Abstract: A method of fabricating a semiconductor device comprises sequentially forming a first conductive layer, a first insulating interlayer, a second conductive layer, and a second insulating interlayer on a semiconductor substrate. A mask layer is formed on the second insulating interlayer, and then the second insulating interlayer, the second conductive layer, and the first insulating interlayer are selectively removed using the mask layer as an etch mask to form a contact hole exposing the first conductive layer. Portions of the second conductive layer exposed in sidewalls of the contact hole are then selectively etched to form a recess between the first and second insulating interlayers. Next, a third conductive layer is formed on a bottom surface and on sidewalls of the contact hole, a metal silicide layer is formed to fill the recess, and a fourth conductive layer is formed to fill the contact hole over the metal silicide layer.
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: January 13, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Byung-Ho Kwak, Bum-Soo Chang
  • Patent number: 7473598
    Abstract: A method for forming a stack capacitor includes providing a substrate with a bottom layer, a BPSG layer, a USG layer and a top layer thereon; using the top layer as a hard mask and the substrate as a first etching stop layer to perform a dry etching process to form a tapered trench in the bottom layer, the BPSG layer and the USG layer; removing the top layer to perform a selective wet etching process to partially remove the BPSG layer; depositing conformally a poly-Si layer and filling the trench with a sacrificial layer; removing the poly-Si layer unmasked by the sacrificial layer; using the bottom layer as a second etching stop layer to perform a wet etching process to remove the USG layer and BPSG layer; performing a static drying process; and depositing a dielectric layer and a conductive material to form the stack capacitor.
    Type: Grant
    Filed: April 22, 2007
    Date of Patent: January 6, 2009
    Assignee: Nanya Technology Corp.
    Inventors: Shian-Hau Liao, Tsung-Shin Wu, Chih-Chiang Kuo, Chien-Li Cheng
  • Publication number: 20080303169
    Abstract: An integrated circuit arrangement containing a via is disclosed. The via has an upper section having greatly inclined sidewalls. A lower section of the via has approximately vertical sidewalls. In one embodiment, a liner layer is used as a hard mask in the production of the via and defines the position of the sections of the via.
    Type: Application
    Filed: August 25, 2005
    Publication date: December 11, 2008
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Klaus Goller, Jakob Kriz
  • Patent number: 7462523
    Abstract: A conductive portion connects a lower conductive layer formed on a semiconductor substrate provided in a first interlayer insulating layer to an upper conductive layer formed on the lower conductive layer, and provided in a second interlayer insulating layer. This portion is divided into at least one plug and a pad. At least one plug is formed in a first interlayer insulating layer and the lower part of a second interlayer insulating layer. The second interlayer insulating layer is divided into a plurality of interlayer insulating layers so that upper and lower widths of the divided plugs formed in the divided portion of the second interlayer insulating layer are not greatly different from each other. The pad formed on the upper portion of the second interlayer insulating layer has an upper width such that the upper conductive layer connected to the pad is not undesirably connected to an adjacent upper conductive layer via the pad.
    Type: Grant
    Filed: March 14, 2005
    Date of Patent: December 9, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Won-Suk Yang, Sang-Hoo Song, Ki-Nam Kim, Hong-Sik Jeong
  • Publication number: 20080296778
    Abstract: A method of manufacturing an integrated circuit and an interconnection structure includes forming a conductive portion along a first direction and conductive lines along a second direction.
    Type: Application
    Filed: May 30, 2007
    Publication date: December 4, 2008
    Applicant: QIMONDA AG
    Inventors: Martin Roessiger, Christoph Kleint
  • Patent number: 7459384
    Abstract: Methods for preventing cavitation in high aspect ratio dielectric regions in a semiconductor device, and the device so formed, are disclosed. The invention includes depositing a first dielectric in the high aspect ratio dielectric region between a pair of structures, and then removing the first dielectric to form a bearing surface adjacent each structure. The bearing surface prevents cavitation of the interlayer dielectric that subsequently fills the high aspect ratio region.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: December 2, 2008
    Assignee: International Business Machines Corporation
    Inventors: Paul D. Agnello, Rajeev Malik, K. Paul Muller
  • Patent number: 7456097
    Abstract: A system and method is disclosed for providing an etch procedure to facet the top corners of a via in a semiconductor device. A vertical anisotropic dry etch process is applied through an aperture in a resist mask to etch through a dielectric layer down to a bottom conductor layer. The resist mask is removed and an etch process is applied to etch away corner portions of the dielectric layer. The etch process forms a flat sidewall surface in the portions of the dielectric layer that form the via. The flat sidewall surface is disposed at an obtuse angle with respect to the top surface of the dielectric layer and at an obtuse angle with respect to a vertical sidewall of the via cavity. The flat sidewall surface and the absence of sharp corners facilitate a subsequent metal fill process.
    Type: Grant
    Filed: November 30, 2004
    Date of Patent: November 25, 2008
    Assignee: National Semiconductor Corporation
    Inventors: Rodney Hill, Victor M. Torres, Richard W. Foote, Jr.
  • Patent number: 7453141
    Abstract: A semiconductor device package is provided which can achieve speeding-up thereof. The semiconductor device package includes: a board which has at least one of a ground plane and a power plane; at least one connecting conductor portion which is formed on an inner wall surface of an opening portion of the board and electrically connected to the corresponding plane; at least one bonding pattern which is formed on a front surface layer portion of the board in the vicinity of an edge of the opening portion, and connected to the corresponding connecting conductor portion; and a second external connection portion which is formed on the side of the front surface layer of the board, and electrically connected to the corresponding plane, respectively, through a through-hole conductor portion formed in the board.
    Type: Grant
    Filed: February 15, 2006
    Date of Patent: November 18, 2008
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Hiroshi Miyagawa, Mitsuhiro Otagiri
  • Patent number: 7446036
    Abstract: A microelectronic structure and a method for fabricating the microelectronic structure use a dielectric layer that is located and formed upon a first conductor layer. An aperture is located through the dielectric layer. The aperture penetrates vertically into the first conductor layer and extends laterally within the first conductor layer beneath the dielectric layer while not reaching the dielectric layer, to form an extended and winged aperture. A contiguous via and interconnect may be formed anchored into the extended and winged aperture while using a plating method, absent voids.
    Type: Grant
    Filed: December 18, 2007
    Date of Patent: November 4, 2008
    Assignees: International Business Machines Corporation, Advanced Micro Devices, Inc.
    Inventors: Tibor Bolom, Stephan Grunow, David Rath, Andrew Herbert Simon
  • Publication number: 20080217790
    Abstract: A semiconductor device having a vertical conductive structure which includes a first inter-layer insulating film; a second inter-layer insulating film formed on the first inter-layer insulating film; a lower-layer contact plug which passes through the first inter-layer insulating film and the second inter-layer insulating film, wherein in the lower-layer contact plug, the outer diameter of the upper surface is smaller than the outer diameter at the boundary position between the first inter-layer insulating film and the second inter-layer insulating film; a third inter-layer insulating film formed on the second inter-layer insulating film; and an upper-layer contact plug which passes through the third inter-layer insulating film on the lower-layer contact plug, and is electrically connected to the lower-layer contact plug.
    Type: Application
    Filed: February 21, 2008
    Publication date: September 11, 2008
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Eiji HASUNUMA
  • Patent number: 7422978
    Abstract: Various embodiments of an interposer for mounting a semiconductor die, as well as methods for forming the interposer, are disclosed. The interposer includes flexible solder pad elements that are formed from a core material of the interposer, such that the interposer may absorb thermally induced stresses and conform to warped or uneven surfaces. Embodiments of electronic device packages including a semiconductor die mounted to and electrically connected to the interposer, as well as methods for forming the electronic device packages, are also disclosed. In one electronic device package, the semiconductor die is electrically connected to the interposer with wire bonds attached to a routing layer of the interposer. In another electronic device package, the semiconductor die is electrically connected to the interposer by bonding the semiconductor die to the flexible solder pad elements of the interposer in a flip-chip configuration.
    Type: Grant
    Filed: December 13, 2005
    Date of Patent: September 9, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Teck Kheng Lee
  • Patent number: 7416976
    Abstract: A semiconductor product includes a substrate having a substrate surface. A plurality of wordlines are arranged at a distance from one another and running along a first direction. A plurality of conductive contact structures are provided between the wordlines. The product also includes a plurality of filling structures. Each filling structure separates from one another two respective contact structures arranged between two respective wordlines. The two respective contact structures are arranged at a distance from one another in the first direction. In the preferred embodiment, the contact structures have a top side provided at a distance from the substrate surface and extends to the substrate surface. The contact structures at the substrate surface have a width along the first direction that is larger than a width of the top sides of the contact structures along the first direction.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: August 26, 2008
    Assignee: Infineon Technologies AG
    Inventors: Josef Willer, Patrick Haibach, Christoph Andreas Kleint, Nicolas Nagel
  • Patent number: 7410824
    Abstract: A method for solder bumping provides a substrate and forms a film on the substrate. The film has openings therethrough. A stencil is aligned on the film. The stencil has openings therethrough over the openings through the film. Solder paste is printed onto the substrate and into the openings through the stencil and the openings through the film. The solder paste is reflowed to form solder balls therefrom. The stencil and the film are then removed.
    Type: Grant
    Filed: December 9, 2004
    Date of Patent: August 12, 2008
    Assignee: Stats Chippac Ltd.
    Inventors: Byung Tai Do, Romeo Emmanuel P. Alvarez, Yaojian Lin
  • Patent number: 7405156
    Abstract: A photoresist pattern is formed on an insulating substrate so that it has a reverse tapered cross section and a reverse pattern of a wiring pattern to be formed. Next, a nanoparticles-containing ink is injected on a wiring region using an inkjet system, followed by a leveling process, a drying process, a resist separation process and a baking process. Thus a wiring pattern is formed.
    Type: Grant
    Filed: July 6, 2005
    Date of Patent: July 29, 2008
    Assignee: NEC LCD Technologies, Ltd.
    Inventor: Hiroaki Tanaka
  • Patent number: 7396762
    Abstract: Interconnect structures that include a conformal liner repair layer bridging breaches in a liner formed on roughened dielectric material in an insulating layer and methods of forming such interconnect structures. The conformal liner repair layer is formed of a conductive material, such as a cobalt-containing material. The conformal liner repair layer may be particularly useful for repairing discontinuities in a conductive liner disposed on roughened dielectric material bordering the trenches and vias of damascene interconnect structures.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: July 8, 2008
    Assignee: International Business Machines Corporation
    Inventors: Louis Lu-Chen Hsu, Jack Allan Mandelman, William Robert Tonti, Chih-Chao Yang
  • Publication number: 20080150150
    Abstract: System and method for filling vias in integrated circuits A preferred embodiment comprises forming a spacer layer on a substrate, forming a via with walls and a bottom in the spacer layer, depositing a conformal conductive layer on the spacer layer and on the walls and bottom of the via, spinning-on a photo-definable material on the conductive layer, forming a fill layer on the conductive layer and filling the via, exposing portions of the fill layer to an exposing light using a photomask, developing the fill layer to remove select portions of the fill layer and leave a portion of the fill layer filling the via, and removing the spacer layer. The use of a spin-on photo-definable material increases the material's filling and planarizing capabilities, while enabling a reduction in the number of process steps, which may reduce the likelihood of manufacturing defects, thereby increasing manufacturing yield.
    Type: Application
    Filed: December 20, 2006
    Publication date: June 26, 2008
    Inventors: Mark Andrew Franklin, Georgina Marie Jabbour, James Carl Baker
  • Publication number: 20080149978
    Abstract: A memory device, comprising a semiconductor substrate with at least one storage cell, the storage cell comprising a storage element and a selection transistor, wherein the memory device further comprises a storage element contact assigned to the storage cell, the storage element contact extending from the selection transistor to the storage element along an axis that at least partially runs obliquely with respect to a direction perpendicular to the substrate surface.
    Type: Application
    Filed: December 21, 2006
    Publication date: June 26, 2008
    Inventor: Till Schloesser
  • Patent number: 7388279
    Abstract: Disclosed are tapered dielectric and conductor structures which provide controlled impedance interconnection while signal conductor lines transition from finer pitches to coarser pitches thereby obviating electrical discontinuities generally associated with changes of circuit contact pitch. Also disclosed are methods for the construction of the devices and applications therefore.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: June 17, 2008
    Assignee: Interconnect Portfolio, LLC
    Inventors: Joseph C. Fjelstad, Kevin P. Grundy, Para K. Segaram, Gary Yasumura
  • Patent number: 7384866
    Abstract: A metal interconnection of a semiconductor device is fabricated by forming a dielectric pattern including a hole therein on a substrate, and forming a barrier metal layer in the hole and on the dielectric layer pattern outside the hole. At least some of the barrier metal layer is oxidized. An anti-nucleation layer is selectively formed on the oxidized barrier metal layer outside the hole that exposes the oxidized barrier metal layer in the hole. A metal layer then is selectively formed on the exposed oxidized barrier layer in the hole.
    Type: Grant
    Filed: March 31, 2005
    Date of Patent: June 10, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ju-young Yun, Gil-heyun Choi, Byung-hee Kim, Jong-myeong Lee, Seung-gil Yang, Jung-hun Seo
  • Publication number: 20080122110
    Abstract: A semiconductor structure includes a semiconductor device including a contact region. The semiconductor structure also includes a passivation layer passivating the semiconductor device including the contact region. A narrow bottomed stepped sidewall contact aperture is located within the passivation layer to expose the contact region. A corresponding narrow bottomed stepped sidewall contact via is located within the narrow bottomed stepped sidewall contact aperture to contact the contact region. The narrow bottomed stepped sidewall contact aperture and contact via provide for improved contact to the contact region and reduced parasitic capacitance with respect to the semiconductor device. Methods for fabricating the narrow bottomed stepped sidewall contact aperture use a mask layer (either dimensionally diminished or dimensionally augmented) in conjunction with a two step etch method.
    Type: Application
    Filed: November 2, 2006
    Publication date: May 29, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Haining Yang, Wai-Kin Li
  • Publication number: 20080090408
    Abstract: Methods for controlling the profile of a trench of a semiconductor structure comprise the step of depositing a photoresist within a via and overlying a second dielectric layer. An image layer is deposited overlying the photoresist and is patterned to form a first trench having a first width and a second width that are not equal and a first angle. The photoresist is dry etched using dry etch parameters, at least one of which is selected based on the first angle and the first and the second widths of the first trench to form a second trench in the photoresist. The second dielectric layer is etched to form a third trench.
    Type: Application
    Filed: October 3, 2006
    Publication date: April 17, 2008
    Inventors: Benjamin C. Hoster, William S. Bass
  • Patent number: 7358170
    Abstract: The invention includes methods of electroless plating of nickel selectively on exposed conductive surfaces relative to exposed insulative surfaces. The electroless plating can utilize a bath which contains triethanolamine, maleic anhydride and at least one nickel salt.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: April 15, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Chandra Tiwari
  • Patent number: 7354856
    Abstract: The manufacture of damascene structures having improved performance, particularly, but not by way of limitation, dual damascene structures is provided. In one embodiment, a substrate having a conductive layer is formed in a first insulating layer. A protective layer is formed above the conductive layer. An etching stop layer is formed above the protective layer and the first insulating layer. A second insulating layer is formed above the etching stop layer. A first patterned photoresist layer is formed above the second insulating layer, the first patterned photoresist layer having a first pattern. The first pattern is etched into the second insulating layer and the etching stop layer to form a first opening. A via plug is filled at least partially in the first opening. An anti-reflective coating (ARC) layer is formed above the second insulating layer. A second patterned photoresist layer is formed above the ARC layer, the second photoresist layer having a second pattern.
    Type: Grant
    Filed: March 4, 2005
    Date of Patent: April 8, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ming-Shih Yeh, Ming-Hsing Tsai, Shau-Lin Shue, Chen-Hua Yu
  • Patent number: 7341907
    Abstract: Methods for depositing hemispherical grained silicon layers and nanocrystalline grain-sized polysilicon layers are provided. The hemispherical grained silicon layers and nanocrystalline grain-sized polysilicon layers are deposited in single substrate chemical vapor deposition chambers. The hemispherical grained silicon layers and nanocrystalline grain-sized polysilicon layers may be used as electrode layers in semiconductor devices. In one aspect, a two step deposition process is provided to form a nanocrystalline grain-sized polysilicon layer with a reduced roughness.
    Type: Grant
    Filed: April 5, 2005
    Date of Patent: March 11, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Ming Li, Kevin Cunningham, Sheeba Panayil, Guangcai Xing, R. Suryanarayanan Iyer
  • Publication number: 20080054486
    Abstract: A method for manufacturing a package which includes: an etching step of etching a silicon substrate, and forming a via hole penetrating through the silicon substrate; and a step of embedding an electrically conductive material in the via hole, and forming a via plug, characterized in that the etching step includes a first etching step of forming the via hole in a straight shape, and a second etching step of forming the via hole in a taper shape.
    Type: Application
    Filed: August 29, 2007
    Publication date: March 6, 2008
    Inventors: Kei Murayama, Akinori Shiraishi, Masahiro Sunohara, Yuichi Taguchi, Naoyuki Koizumi, Mitsutoshi Higashi
  • Patent number: 7338897
    Abstract: A method of fabricating a semiconductor device includes forming a metal wire on a substrate, forming an interlayer insulating film on the metal wire, forming a resist pattern on the interlayer insulating film, selectively etching the interlayer film to form a trench or via-hole in the interlayer insulating film and reaching the metal wire, and ashing, using a reducing gas, to remove the resist pattern.
    Type: Grant
    Filed: December 20, 2004
    Date of Patent: March 4, 2008
    Assignee: Rohm Co., Ltd.
    Inventors: Kazuaki Inukai, Atsushi Matsushita
  • Patent number: 7335517
    Abstract: A multichip semiconductor device is disclosed in which chips are stacked each of which comprises a semiconductor substrate formed on top with circuit components and an interlayer insulating film formed on the top of the semiconductor substrate. At least one of the chips has a connect plug of a metal formed in a through hole that passes through the semiconductor substrate and the interlayer insulating film. The chip with the connect plug is electrically connected with another chip by that connect plug.
    Type: Grant
    Filed: July 30, 2004
    Date of Patent: February 26, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Nobuo Hayasaka, Katsuya Okumura, Keiichi Sasaki, Mie Matsuo
  • Patent number: 7329601
    Abstract: Disclosed is a method for manufacturing a semiconductor device, comprising forming a low dielectric constant insulating film having a porous structure above a semiconductor substrate, forming a recess in the low dielectric constant insulating film, providing a burying insulating film above the low dielectric constant insulating film having the recess and in the recess, removing a the burying insulating film provided in the recess, thereby opening the recess, and burying conductive material in the recess, forming a conductive portion.
    Type: Grant
    Filed: March 7, 2005
    Date of Patent: February 12, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hideshi Miyajima
  • Patent number: 7326645
    Abstract: Methods for forming a copper interconnect of a semiconductor device are disclosed. A disclosed method comprises forming a lower metal interconnect; sequentially depositing a capping layer, a first insulating layer, and a second insulating layer on the lower metal interconnect; forming a via hole by etching the first insulating layer and the second insulating layer; forming a trench and terraces by etching the second insulating layer; and exposing at least a portion of the top surface of the lower metal interconnect by etching the capping layer.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: February 5, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Joon Bum Shim
  • Publication number: 20080026568
    Abstract: A method of forming an interconnect structure in an inter-layer dielectric (ILD) material, the method include the steps of creating one or more via openings in the ILD material; forming a first liner covering at least one of the one or more via openings; creating one or more trench openings on top of at least one of the one or more via openings covered by the first liner; and forming a second liner covering the trenching openings and at least part of the first liner. An interconnect structure formed by the method is also provided.
    Type: Application
    Filed: July 31, 2006
    Publication date: January 31, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Theodorus Eduardus Standaert, Pegeen M. Davis, John Anthony Fitzsimmons, Stephen Edward Greco, Tze-Man Ko, Naftali Eliahu Lustig, Lee Matthew Nicholson, Sujatha Sankaran
  • Publication number: 20080012146
    Abstract: A semiconductor device includes an insulating film formed above an upper surface of a semiconductor substrate and including a contact hole, the contact hole including an upper portion and a lower portion located on the upper portion via a boundary as a first lower end of the upper portion and a first upper end of the lower portion, the boundary including a second inner width same as the first inner width, the lower portion including a second lower end having a third inner width narrower than the second inner width, a first conductive plug made from polycrystalline silicon and formed in the lower portion of the contact hole so that the exposed upper surface of the substrate is in contact with the first conductive plug, and a second conductive plug formed on the first conductive plug and made from a conductive material different from the polycrystalline silicon.
    Type: Application
    Filed: June 26, 2007
    Publication date: January 17, 2008
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Takaharu Nishimura
  • Patent number: 7319067
    Abstract: A method of simultaneously controlling the ADI-AEI CD differences of openings having different sizes is disclosed. The openings are formed by: forming an ARC and a photoresist layer with a first and a second opening patterns of different sizes therein on a material layer, and etching the ARC and the material layer with the photoresist layer as a mask to form in the material layer a first/second opening corresponding to the first/second opening pattern, wherein the etching recipe makes the first/second opening smaller than the first/second opening pattern by a first/second size difference (?S1/?S2) and the difference between ?S1 and ?S2 is a relative size difference. The method is characterized by that an etching parameter affecting the relative size difference is set at a first value in etching the ARC and at a second value different from the first value in etching the material layer.
    Type: Grant
    Filed: November 7, 2005
    Date of Patent: January 15, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Pei-Yu Chou, Jiunn-Hsing Liao
  • Publication number: 20080009132
    Abstract: A method of forming a via hole reaching a bonding pad in a wafer having a plurality of devices formed on the front surface of a substrate and bonding pads formed on each of the devices by applying a pulse laser beam to the rear surface of the substrate, the method comprising the steps of: forming a non-through hole having a predetermined depth in the front surface of the substrate by applying a pulse laser beam having a spot diameter of 0.75 to 0.9 D when the diameter of the via hole to be formed is represented by D and an energy density per pulse of 40 to 60 J/cm2 to the rear surface of the substrate; and forming a via hole reaching a bonding pad in the substrate by applying a pulse laser beam having an energy density per pulse of 25 to 35 J/cm2 to the hole formed in the substrate.
    Type: Application
    Filed: June 8, 2007
    Publication date: January 10, 2008
    Inventor: Hiroshi Morikazu
  • Patent number: 7312400
    Abstract: A multilayer wiring board assembly component comprises: an insulating substrate component (the insulating resin layer 111); a conductive layer 112 formed on one surface of said insulating substrate component 111 in the form of an electrode pattern; an adhesive layer 113 formed on the other surface of said insulating substrate component 111; and a conductive resin composition 115 with which is filled a through hole passing through said insulating substrate component 111, said adhesive layer and said conductive layer in order to make interlayer interconnection. The bore diameter of the conductive layer portion 114b of the through hole 114 is smaller than the bore diameter of the insulating resin layer portion and the adhesive layer portion 114a to establish electrical connection between the conductive resin composition 115 and the conductive layer 112 by the rare surface 112a of the conductive layer 112.
    Type: Grant
    Filed: February 21, 2003
    Date of Patent: December 25, 2007
    Assignee: Fujikura Ltd.
    Inventors: Shoji Ito, Osamu Nakao, Reiji Higuchi, Masahiro Okamoto
  • Patent number: 7303648
    Abstract: Systems and techniques relating to etching vias in integrated circuit devices, in one implementation, include: providing a dielectric material and a conductive material, removing a first portion of the dielectric material to form a hole in the dielectric material, performing a tapering etch that removes a second portion of the dielectric material to form a via that touches down on the conductive material, and laterally expanding a bottom dimension of the via without a significant increase in a depth of the via. The technique can also include: providing a substrate with the dielectric material and the conductive material attached without an associated etch stop layer, removing the first portion at a high etch rate, controlling ion bombardment and plasma chemistry to form a sloped bottom of the via, and performing an intensive ion bombarding plasma etch, laterally expanding the via bottom.
    Type: Grant
    Filed: May 25, 2004
    Date of Patent: December 4, 2007
    Assignee: Intel Corporation
    Inventors: Hyun-Mog Park, Vijayakumar Ramachandrarao
  • Patent number: 7300879
    Abstract: Manufacturing costs may be reduced and yield may be improved when metal wiring in a semiconductor device is fabricated by a disclosed method including: sequentially forming an etch stop layer, an intermetal insulation layer, an anti-reflection coating layer, and a mask pattern on a semiconductor substrate formed with a lower structure; etching the anti-reflection coating layer using the mask pattern; forming a trench by removing the intermetal insulation layer to a predetermined depth by performing wet etching using the mask pattern; forming a via hole by removing the remaining intermetal insulation layer and the etch stop layer by dry etching them using the mask pattern; and removing the mask pattern.
    Type: Grant
    Filed: November 1, 2005
    Date of Patent: November 27, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Byoung-Yoon Seo
  • Patent number: 7297628
    Abstract: Inwardly-tapered openings are created in an Anti-Reflection Coating layer (ARC layer) provided beneath a patterned photoresist layer. The smaller, bottom width dimensions of the inwardly-tapered openings are used for defining further openings in an interlayer dielectric region (ILD) provided beneath the ARC layer. In one embodiment, the ILD separates an active layers set of an integrated circuit from its first major interconnect layer. Further in one embodiment, a taper-inducing etch recipe is used to create the inwardly-tapered ARC openings, where the etch recipe uses a mixture of CF4 and CHF3 and where the CF4/CHF3 volumetric inflow ratio is substantially less than 5 to 1, and more preferably closer to 1 to 1.
    Type: Grant
    Filed: November 19, 2003
    Date of Patent: November 20, 2007
    Assignee: Promos Technologies, Inc.
    Inventors: Chunyuan Chao, Kuei-Chang Tsai, George A. Kovall
  • Patent number: 7288205
    Abstract: Methods and apparatus are provided for processing a substrate with a hermetic dielectric layer. In one aspect, the invention provides a method for processing a substrate including providing the substrate to a processing chamber, introducing a processing gas comprising a reducing agent, an oxygen containing compound, and an organosilicon compound, into the processing chamber, generating a plasma from a dual frequency RF power source, and depositing a dielectric material comprising silicon, carbon, and oxygen. The dielectric material may be used as an etch stop, an anti-reflective coating, or a passivation layer.
    Type: Grant
    Filed: July 9, 2004
    Date of Patent: October 30, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Annamalai Lakshmanan, Albert Lee, Ju-Hyung Lee, Bok Hoen Kim
  • Patent number: 7285863
    Abstract: Embodiments include a semiconductor device comprising: a pad formed on an insulating layer and having an electric connection region with external components; and a protective insulating layer which has an aperture for exposing the electric connection region. The protective insulating layer may include a first insulating layer and a second insulating layer, and side surfaces of these insulating layers are exposed to the aperture. At least part of the side surfaces surrounding the electric connection region have a tapered configuration at an acute angle to a top surface of the pad. This semiconductor device not only enables reduction of the fabrication steps, but also provides a reliable passivation structure for a pad with sufficient thickness and stress relaxation characteristics.
    Type: Grant
    Filed: October 12, 2004
    Date of Patent: October 23, 2007
    Assignee: Seiko Epson Corporation
    Inventor: Atsushi Kanda
  • Patent number: 7271091
    Abstract: A method for forming a metal pattern in a semiconductor device which is capable of reducing contact resistivity with an interconnection contact. The method includes forming a tungsten interconnection contact passing through a lower insulating layer on a semiconductor substrate, forming an upper insulating layer covering the interconnection contact, and forming a groove having the same line width as a damascene trench on the upper insulating layer. The method also includes forming a mask spacer on a sidewall of the groove, forming the damascene trench having an inclined bottom profile for exposing a top surface and a portion of a sidewall of the interconnection contact, and forming a metal pattern with which the damascene trench is filled, the metal pattern electrically connected to the interconnection contact.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: September 18, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Date-Gun Lee
  • Patent number: 7259089
    Abstract: A semiconductor device manufacturing method includes the steps of: forming first and second insulation films on a substrate provided with a first wiring; sequentially forming first to third mask layers on the second insulation film; forming a wiring groove pattern in the third mask layer; selectively processing the third mask layer, formed to project into the inside of the wiring groove pattern, into a tapered shape; forming a contact hole pattern in the second and first mask layer, and removing the tapered shape portions of the third mask layer; and forming wiring grooves in the second insulation film by etching using the third mask layer, and forming contact holes in the insulation film by etching using the second and first mask layers.
    Type: Grant
    Filed: January 11, 2005
    Date of Patent: August 21, 2007
    Assignee: Sony Corporation
    Inventor: Ryuichi Kanamura
  • Patent number: 7256124
    Abstract: A method of fabricating a semiconductor device. A semiconductor substrate with a patterned conductive layer on a top surface of the substrate is first provided. A dielectric layer is then formed to cover the substrate. Thereafter, an electron beam irradiation procedure is performed to anneal the patterned conductive layer and reduce resistance of the patterned conductive layer.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: August 14, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Keng-Chu Lin, Yi-Chi Liao, Hung-Chun Tsai, Yung-Cheng Lu, Hung-Wen Su
  • Publication number: 20070173057
    Abstract: A method for fabricating a storage node contact in a semiconductor device includes forming a plurality of bit line patterns, each bit line pattern including a bit line hard mask formed over a bit line conductive layer, forming an inter-layer insulation layer filled between the bit line patterns, planarizing the inter-layer insulation layer until top portions of the bit line hard masks are exposed, partially etching the inter-layer insulation layer to form first open regions, enlarging a width of the first open regions, forming a capping layer to cover the top portions of the bit line hard masks and to cover a surface of the first open regions, etching the capping layer and remaining portions of the inter-layer insulation layer between the bit line patterns to form second open regions below the first open regions, and forming storage node contacts filling in the first and second open regions.
    Type: Application
    Filed: December 6, 2006
    Publication date: July 26, 2007
    Applicant: Hynix Semiconductor, Inc.
    Inventors: Min-Suk Lee, Jae-Young Lee
  • Patent number: 7238609
    Abstract: A method for fabricating a semiconductor device has the steps of forming a conductive film on a substrate, forming an insulating film such that the conductive film is covered with the insulating film, forming, in the insulating film, a hole having a bottom portion not reaching the conductive film by using a mask layer having a first opening pattern, and forming, in the insulating film, an opening for exposing the conductive film by using a mask layer having a second opening pattern having an opening diameter larger than an opening diameter of the first opening pattern. An obtuse angle is formed between a wall surface of the opening and a bottom surface of the opening.
    Type: Grant
    Filed: February 26, 2004
    Date of Patent: July 3, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Toyoji Ito
  • Patent number: 7211508
    Abstract: Methods for processing substrate to deposit barrier layers of one or more material layers by atomic layer deposition are provided. In one aspect, a method is provided for processing a substrate including depositing a metal nitride barrier layer on at least a portion of a substrate surface by alternately introducing one or more pulses of a metal containing compound and one or more pulses of a nitrogen containing compound and depositing a metal barrier layer on at least a portion of the metal nitride barrier layer by alternately introducing one or more pulses of a metal containing compound and one or more pulses of a reductant. A soak process may be performed on the substrate surface before deposition of the metal nitride barrier layer and/or metal barrier layer.
    Type: Grant
    Filed: June 18, 2004
    Date of Patent: May 1, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Hua Chung, Rongjun Wang, Nirmalya Maity
  • Patent number: 7202177
    Abstract: A method of stripping an integrated circuit (IC) structure having a photoresist material and an organosilicate glass (OSG) material is described. The method comprises feeding a nitrous oxide (N2O) gas into a reactor, generating a plasma in the reactor and stripping the photoresist. The stripping process provides a high selectivity between the photoresist and the OSG material.
    Type: Grant
    Filed: October 8, 2003
    Date of Patent: April 10, 2007
    Assignee: Lam Research Corporation
    Inventors: Helen Zhu, Rao Annapragada
  • Patent number: 7199043
    Abstract: Disclosed in a method of forming a copper wiring in a semiconductor device. A copper layer buries a damascene pattern in which an interlayer insulating film of a low dielectric constant. The copper layer is polished by means of a chemical mechanical polishing process to form a copper wiring within a damascene pattern. At this time, the chemical mechanical polishing process is overly performed so that the top surface of the copper wiring is concaved and is lower than the surface of the interlayer insulating film of the low dielectric constant neighboring it. Furthermore, an annealing process is performed so that the top surface of the copper wiring is changed from the concaved shape to a convex shape while stabilizing the copper wiring. A copper anti-diffusion insulating film is then formed on the entire structure including the top surface of the copper wiring having the convex shape.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: April 3, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Kyun Park
  • Patent number: 7183195
    Abstract: A method of fabricating dual damascene interconnections is provided. A dual damascene region is formed in a hybrid dielectric layer having a dielectric constant of 3.3 or less, and a carbon-free inorganic material is used as a via filler. The present invention improves electrical properties of dual damascene interconnections and minimizes defects.
    Type: Grant
    Filed: July 23, 2003
    Date of Patent: February 27, 2007
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Kyoung-woo Lee, Soo-geun Lee, Wan-jae Park, Jae-hak Kim, Hong-jae Shin