Having Planarization Step Patents (Class 438/645)
  • Patent number: 6815336
    Abstract: Methods are disclosed to improve the planarization of copper damascene by the steps of patterning on the copper damascene a photoresist using a reverse tone photo mask or a reverse tone photo mask of the metal lines, removing excess copper by reverse current plating or by dry or wet chemical etching, stripping the photo resist, and a subsequent chemical mechanical planarization of the copper damascene. Lastly a cap layer is applied to the planarized surface. In a variant of the disclosed method a more relaxed reverse tone photo mask of the metal lines is used, which may be more desirable for practical use. These steps provide benefits such as improved uniformity of the wafer surface, reduce the dishing of metal lines (trenches) and pads, and reduce oxide erosion.
    Type: Grant
    Filed: September 25, 1998
    Date of Patent: November 9, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shau-Lin Shue, Syun-Ming Jang
  • Patent number: 6806185
    Abstract: Within a damascene method for forming a patterned conductor layer having formed interposed between its patterns a patterned dielectric layer formed of a comparatively low dielectric constant dielectric material method, there is employed a patterned capping layer formed upon the patterned dielectric layer. The patterned capping layer is formed employing a plasma enhanced chemical vapor deposition (PECVD) method in turn employing an organosilane carbon and silicon source material, a substrate temperature of from about 0 to about 200 degrees centigrade and a radio frequency power of from about 100 to about 1000 watts per square centimeter substrate area. The patterned capping layer provides for attenuated abrasive damage to the dielectric layer incident to the damascene method and is typically partially planarized incident to the damascene method.
    Type: Grant
    Filed: September 19, 2002
    Date of Patent: October 19, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Lain-Jong Li, Chung-Chi Ko
  • Patent number: 6797611
    Abstract: A method of fabricating contact holes on a semiconductor chip with a plurality of gates and a first mask layer includes filling a dielectric layer into the inter-gate space of two gates, polishing the dielectric layer until the surface of the dielectric layer is coplanar with the gates, depositing a second mask layer, etching the second mask layer to form a bit line opening in an array area and simultaneously forming a gate opening and a substrate opening in a periphery area, removing a portion of the dielectric layer through the bit line opening and the substrate opening to form a bit line contact hole and a substrate contact hole, filling a metal layer into the bit line contact hole and the substrate contact hole, and etching the first mask layer through the gate opening to form a gate contact hole.
    Type: Grant
    Filed: August 3, 2003
    Date of Patent: September 28, 2004
    Assignee: Nanya Technology Corp.
    Inventors: Kuo-Chien Wu, Yinan Chen
  • Patent number: 6797557
    Abstract: A method and system for fabricating a capacitor utilized in a semiconductor device. A salicide gate is designated for use with the semiconductor device. A self-aligned contact (SAC) may also be configured for use with the semiconductor device. The salicide gate and the self-aligned contact are generally in a memory cell area of the semiconductor device to thereby permit the efficient shrinkage of memory cell size without an additional mask or weakening of associated circuit performance. Combining, the self-aligned contact and the salicide gate in the same memory cell area can effectively reduce gate resistance.
    Type: Grant
    Filed: October 11, 2001
    Date of Patent: September 28, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Min-Hsiung Chiang
  • Patent number: 6794267
    Abstract: A process of manufacturing a semiconductor device comprising the step of chemical mechanical polishing for flattening an interlayer insulating film deposited on a wafer on which desired elements are in advance formed, wherein a stopper layer is formed on a region which will be excessively polished through the chemical mechanical polishing before or after forming the interlayer insulating film.
    Type: Grant
    Filed: February 5, 2002
    Date of Patent: September 21, 2004
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Noritaka Kamikubo
  • Patent number: 6784098
    Abstract: A new method is provided for forming salicided surfaces to a FET device. Gate electrodes are formed including Ti/TiN salicided contact surface regions thereto. A thin layer of silicon oxide and a thick layer of photoresist are deposited. The layer of photoresist is polished, stopping on a top layer of BN of the gate electrode. The exposed layer of BN is removed. A thick layer of Ti/TiN is next deposited and annealed, forming TiSix after which unreacted Ti/TiN is removed. A high temperature anneal is applied to reduce the sheet resistance of the layer of TiSix. As an alternate approach to the above cited sequence the layer of photoresist can be replaced with a layer of boro-phosphate-silicate-glass (BPSG), the layer of BN can be replaced with a layer of silicon nitride.
    Type: Grant
    Filed: April 30, 2001
    Date of Patent: August 31, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Chine-Gie Lou
  • Publication number: 20040161924
    Abstract: A damascene interconnect structure with a bi-layer capping film is provided. The damascene interconnect structure comprises a semiconductor layer and a dielectric layer disposed on the semiconductor layer. The dielectric layer has a main surface and at least one damascened recess provided on the main surface. A copper wire is embedded in the damascened recess. The copper wire has a chemical mechanical polished upper surface, which is substantially co-planar with the main surface of the dielectric layer. After polishing the upper surface of the copper wire, the upper surface is pre-treated and reduced in a conductive plasma environment at a temperature of below 300 ° C. A bi-layer capping film is thereafter disposed on the upper surface of the copper wire. The bi-layer capping film consists of a lower HDPCVD silicon nitride layer and an upper doped silicon carbide layer.
    Type: Application
    Filed: February 14, 2003
    Publication date: August 19, 2004
    Inventors: Jei-Ming Chen, Yi-Fang Chiang, Chih-Chien Liu
  • Patent number: 6764947
    Abstract: A silicon oxide stress relief portion is provided between an amorphous carbon hardmask and a polysilicon layer to be etched to form a gate line. The stress relief portion relieves stress between the hardmask and the polysilicon, thereby reducing the risk of delamination of the hardmask prior to patterning of the polysilicon. The stress relief portion may be trimmed prior to patterning and used as an etch mask for patterning the polysilicon. The amorphous carbon hardmasked may be trimmed prior to patterning the stress relief portion to achieve a further reduction in gate line width.
    Type: Grant
    Filed: February 14, 2003
    Date of Patent: July 20, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Darin Chan, Douglas J. Bonser, Marina V. Plat, Marilyn I. Wright, Chih Yuh Yang, Lu You, Scott A. Bell, Philip A. Fisher
  • Patent number: 6764950
    Abstract: Cu interconnections embedded in an interconnection slot of a silicon oxide film are formed by polishing using CMP to improve the insulation breakdown resistance of a copper interconnection formed using the Damascene method, and after a post-CMP cleaning step, the surface of the silicon oxide film and Cu interconnections is treated by a reducing plasma (ammonia plasma). Subsequently, a continuous cap film (silicon nitride film) is formed without vacuum break.
    Type: Grant
    Filed: April 5, 2001
    Date of Patent: July 20, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Junji Noguchi, Naohumi Ohashi, Tatsuyuki Saito
  • Patent number: 6759322
    Abstract: After a plurality of grooves are formed in an insulating film and in an anti-reflection film on the insulating film, a barrier metal film and a conductive film are deposited on the anti-reflection film such that each of the wiring grooves is filled therewith. Subsequently, the portions of the conductive film outside the grooves are removed by polishing and then the portions of the barrier metal film outside the wiring are removed by polishing. Thereafter, a foreign matter adhered to a surface to be polished during polishing is removed and then a surface of the anti-reflection film is polished.
    Type: Grant
    Filed: December 26, 2002
    Date of Patent: July 6, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Hideaki Yoshida, Tetsuya Ueda, Masashi Hamanaka, Takeshi Harada
  • Patent number: 6759330
    Abstract: In a copper plating process, a seed layer is uniformly deposited over a surface, including lining a high aspect ratio trench defined by that surface. A mask layer is provided using a process that fails to deposit in the trench. In one exemplary embodiment, the failure is due to the decrease in the isotropic flux of neutrals toward the bottom of the trench. Copper is subsequently electroplated. Because the seed layer is exposed only within the trench, copper deposits only therein. The self-aligned mask prevents plating outside of the trench. A chemical-mechanical planarization step removes the mask and the seed layer extending beyond the trench, leaving a copper structure within the trench. The structure may serve as a conductive line, an interconnect, or a capacitor plate.
    Type: Grant
    Filed: November 15, 2002
    Date of Patent: July 6, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Dinesh Chopra, Kevin G. Donohoe, Cem Basceri
  • Patent number: 6759297
    Abstract: The invention provides a low temperature process for depositing silicon nitride or silicon dioxide dielectric films over magnetically active materials in the manufacture of MRAM devices and MRAM devices produced by the method.
    Type: Grant
    Filed: February 28, 2003
    Date of Patent: July 6, 2004
    Assignee: Union Semiconductor Technology Corporatin
    Inventors: Edward Frank Dvorsky, Fred J. Wagener
  • Patent number: 6756307
    Abstract: The present invention pertains to apparatus and methods for electroplanarization of metal surfaces having both recessed and raised features, over a large range of feature sizes. The invention accomplishes this by use of a flexible planar cathode and a spacing pad thereon. Methods of the invention are electropolishing methods. During electroplanarization, the flexible planar cathode conforms to the global contour of the work piece (e.g. a wafer) while the spacing pad conforms to local topography of the metal layer being planarized. In this way, dishing is reduced in the final planarized metal layer.
    Type: Grant
    Filed: July 29, 2002
    Date of Patent: June 29, 2004
    Assignee: Novellus Systems, Inc.
    Inventors: John Kelly, Wilbert G. H. van den Hoek, John S. Drewery
  • Publication number: 20040121586
    Abstract: A method for treating a dielectric material using hydrocarbon plasma is described, which allows for thinner films of barrier material to be used to form a robust barrier.
    Type: Application
    Filed: December 23, 2002
    Publication date: June 24, 2004
    Inventor: Thomas Joseph Abell
  • Patent number: 6753249
    Abstract: An improved and new process, used for the elimination of copper line damage, copper defects, non-uniformity improvement, with low dishing and erosion, in damacene processing, is disclosed. This invention relates to a method of fabrication used for semiconductor integrated circuit devices, and more specifically to the elimination of copper line damage for damascene processing, by depositing a multilayer interface material, consisting of a mechanically hard film and a soft film, over a low dielectric constant, interlevel metal dielectric (IMD), and subsequently chemical mechanical polishing (CMP) back the excess material to planarize the surface.
    Type: Grant
    Filed: January 16, 2001
    Date of Patent: June 22, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Ying-Ho Chen, Jih-Churng Twu, Weng Chang
  • Patent number: 6750142
    Abstract: A semiconductor device includes a semiconductor substrate on which an element is formed, a lower wiring formed on the semiconductor substrate, and an upper wiring formed on and connected to the lower wiring. The upper wiring includes a plurality of regions having different thicknesses in a continuous wiring region excluding a connection region for connecting the upper and lower wirings.
    Type: Grant
    Filed: October 23, 2001
    Date of Patent: June 15, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Katsuhiko Hieda
  • Patent number: 6750543
    Abstract: A semiconductor device and a method of making it involve the semiconductor device (10, 71, 101, 121, 151, 201) having a substrate (11, 73, 153) with spaced source and drain regions (13-14, 76-78, 154). A gate section (21, 81-82, 123, 203) projects upwardly from between an adjacent pair of the regions, into an insulating layer (31, 83, 103, 122, 157). In order to create local interconnects to the source and drain regions through the insulating layer, a patterned etch is carried out using an etch region (36, 87, 126), which extends over one of the gate sections from a location above one of the regions to a location above another of the regions. Etching in this etch region produces recesses (41-42, 91-93, 107-108, 138-139, 158) on opposite sides of and immediately adjacent the gate section. A conductive layer (51, 96, 111, 161, 171) is deposited to fill the recesses, and then is planarized back to the upper ends of the gate sections.
    Type: Grant
    Filed: February 27, 2002
    Date of Patent: June 15, 2004
    Assignee: Texas Instruments Incorporated
    Inventor: Theodore W. Houston
  • Patent number: 6743268
    Abstract: A tantalum-based liner for copper metallurgy is selectively removed by chemical-mechanical planarization (CMP) in an acidic slurry of an oxidizer such as hydrogen peroxide, deionized water, a corrosion inhibitor such as BTA, and a surfactant such as Duponol SP, resulting in a high removal rate of the liner without appreciable removal of the exposed copper and with minimal dishing.
    Type: Grant
    Filed: January 18, 2002
    Date of Patent: June 1, 2004
    Assignee: International Business Machines Corporation
    Inventors: William J. Cote, Daniel C. Edelstein, Naftali E. Lustig
  • Publication number: 20040087140
    Abstract: A process for fabricating an integrated electrical circuit comprises the formation and then the removal of conducting inserts. Components of the electrical circuit are incorporated into insulating materials superposed on top of a substrate. The process makes it possible to provide an exclusion volume around certain components sensitive to electrostatic coupling, while giving each insulating material a planar surface at the end of a polishing step.
    Type: Application
    Filed: August 29, 2003
    Publication date: May 6, 2004
    Inventors: Srdjan Kordic, Alain Inard, Celine Roussel, Philippe Gayet
  • Patent number: 6730617
    Abstract: A process for forming a portion of an integrated circuit includes placing a layer of material on a substrate, in which the material includes a polymeric composition or a precursor to a dielectric composition. The material is contacted with a stamping surface having relief structures that define a pattern, so that a patterned layer is formed as a result of the contact, which may include heating the material to mold it. A metal film or layer is then deposited onto the patterned layer. The metal can then be planarized to form a layer of an integrated circuit. A decomposable or sacrificial polymer is preferably included in the material, so that porous dielectric material is formed, thereby leading to a lower dielectric constant of the end product.
    Type: Grant
    Filed: April 24, 2002
    Date of Patent: May 4, 2004
    Inventor: Kenneth Raymond Carter
  • Patent number: 6727172
    Abstract: A method of forming a narrow copper line structure, embedded in an opening in an insulator layer, in which the defect count of the narrow copper line structure is minimized, has been developed. The method features a combination of processes applied to a copper layer prior to subjection of the copper layer to a chemical mechanical polishing, (CMP), procedure, used to define the narrow copper line structure. A thin compressive layer is first formed on the top surface of the copper layer, followed by a low temperature anneal. These procedures increase the number of nucleation sites, and grain size of the copper layer, resulting in less damage to the treated copper layer, as a result of a subsequent CMP procedure, when compared to counterpart copper layers, subjected to the same CMP procedure, however without experiencing the overlying, thin compressive layer, followed by the low temperature anneal.
    Type: Grant
    Filed: June 12, 2002
    Date of Patent: April 27, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Shwangming Jong, Syun-Ming Jang, Wen-Chih Chiou
  • Patent number: 6727173
    Abstract: In one aspect, the invention includes a semiconductor processing method comprising exposing silicon, nitrogen and oxygen in gaseous form to a high density plasma during deposition of a silicon, nitrogen and oxygen containing solid layer over a substrate. In another aspect, the invention includes a gate stack forming method, comprising: a) forming a polysilicon layer over a substrate; b) forming a metal silicide layer over the polysilicon layer; c) depositing an antireflective material layer over the metal silicide utilizing a high density plasma; d) forming a layer of photoresist over the antireflective material layer; e) photolithographically patterning the layer of photoresist to form a patterned masking layer from the layer of photoresist; and f) transferring a pattern from the patterned masking layer to the antireflective material layer, metal silicide layer and polysilicon layer to pattern the antireflective material layer, metal silicide layer and polysilicon layer into a gate stack.
    Type: Grant
    Filed: June 25, 2001
    Date of Patent: April 27, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Sujit Sharan
  • Patent number: 6723626
    Abstract: In a method of manufacturing a semiconductor device, an insulating film is formed on a semiconductor substrate, and a wiring line groove is formed in the insulating film. Then, a conductive film is formed to fill the wiring line groove and to cover the insulating film. The conductive film is removed using a CMP polishing method until the insulating film is exposed, to complete a wiring line. Subsequently, a front side of the semiconductor substrate is rinsed on which the wiring line is formed, and then a back side of the semiconductor substrate is rinsed while supplying to the front side of the semiconductor substrate, a protection solution for forming a protection film in an exposed surface of the wiring line.
    Type: Grant
    Filed: September 3, 2002
    Date of Patent: April 20, 2004
    Assignee: NEC Electronics Corporation
    Inventors: Yasuaki Tsuchiya, Akira Kubo
  • Patent number: 6716743
    Abstract: A method of forming wiring of a uniform film thickness using a damascene process is proposed. Tantalum nitride, copper, another copper, and another tantalum nitride, for example, all constituting conductive films of different polishing rates, are overlayed on the top layer of an insulating film in which one wiring groove and another wiring groove are formed. The film thickness of the tantalum nitride, the copper, the other copper, and the other tantalum nitride is set and formed so that the height of the surface of the tantalum nitride formed on a silicon oxide film excluding the one wiring groove matches the height of the surface of the other tantalum nitride formed on the top layer of the one wiring groove. Subsequently, polishing takes over to complete the forming process.
    Type: Grant
    Filed: May 29, 2002
    Date of Patent: April 6, 2004
    Assignee: Sony Corporation
    Inventor: Naoki Nagashima
  • Patent number: 6709874
    Abstract: A semiconductor device (100) having a copper damascene BEOL structure. A metal cap layer (120) is formed over conductive lines (118) to prevent oxidation of the conductive lines (118) during subsequent processing steps. The metal cap layer (120) comprises a material other than the conductive line (118) material that is resistant to oxidation. The structure (100) is particularly beneficial for MRAM devices.
    Type: Grant
    Filed: March 2, 2001
    Date of Patent: March 23, 2004
    Assignee: Infineon Technologies AG
    Inventor: Xian J. Ning
  • Publication number: 20040023496
    Abstract: CMP slurries for oxide film and a method for forming a metal line contact plug of a semiconductor device are described herein. When a polishing process of a multi-layer film is performed by using the disclosed CMP slurry for oxide film including an HXOn compound (wherein n is an integer from 1 to 4), a stable landing plug poly can be formed by preventing step differences by reducing interlayer polishing speed differences.
    Type: Application
    Filed: June 25, 2003
    Publication date: February 5, 2004
    Inventors: Jong Goo Jung, Sang Ick Lee
  • Patent number: 6673718
    Abstract: An aluminum wiring is selectively formed within a contact hole or groove of a substrate. An intermediate layer which includes nitrogen is formed over the main surface of a substrate and over the interior surface of the contact hole or groove. A first surface portion of the intermediate layer which is located over the main surface of the substrate is treated with a plasma to form a passivity layer at the first surface portion of the intermediate layer. Then, without an intervening vacuum break, an aluminum film is CAD deposited only over a second surface portion of the intermediate layer which is located over the interior surface of the contact hole or recess. The plasma treatment of the first surface portion of the intermediate layer prevents the CAD deposition of the aluminum film over the first surface portion of the intermediate layer.
    Type: Grant
    Filed: November 27, 2002
    Date of Patent: January 6, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-Myeong Lee, In-Sun Park, Hyeon-Deok Lee, Jong-Sik Chun
  • Publication number: 20030230807
    Abstract: Trace configurations for carrying high-speed digital differential signals provide for reduced conduction loss and improved signal integrity. In one embodiment, a circuit board has a first set of conductive traces disposed on nonconductive material, and a second set of conductive traces parallel to the first set and disposed within the conductive material. The second set is separated from the first set by non-conductive material. Corresponding traces of the first and second sets may be in a stacked configuration. In other embodiments, conductive material may be provided between corresponding traces of the first and second sets resulting in an “I-shaped” or “U-shaped” cross-section. In yet other embodiments, the trace configurations have “T-shaped” and “L-shaped” cross-sections.
    Type: Application
    Filed: June 12, 2002
    Publication date: December 18, 2003
    Applicant: Intel Corporation
    Inventors: Yuan-Liang Li, Jiangqi He, Dong Zhong, David G. Figueroa
  • Publication number: 20030228754
    Abstract: A fabrication method for a semiconductor hole is described. The method provides a circular or a elliptical hole pattern. A first exposure is performed with a first photomask that comprises a plurality of diagonally allocated square patterns wherein the square patterns on the first photomask are tilted at an angle of 45 degrees. Thereafter, a second exposure is performed using a second photomask, wherein patterns on the second photomask are mirror images to those on the second photomask to prevent the peeling of the photoresist at between the diagonally allocated hole patterns.
    Type: Application
    Filed: July 26, 2002
    Publication date: December 11, 2003
    Inventor: Tsung-Hsien Wu
  • Patent number: 6660618
    Abstract: Excessive variation in vertical (i.e., inter-level) capacitance of multi-level metallization semiconductor devices resulting in racing of clock skew circuitry of finished devices, and over-etching of borderless vias leading to inter-level short-circuits, are simultaneously eliminated, or substantially reduced, by selectively providing an etch-resistant masking material at thinner, i.e., recessed, portions of a first, low k gap fill material blanket-deposited over spaced-apart features of a metallization pattern and in the spaces therebetween. The surfaces of thicker, non-recessed portions thereof are etched so as to be substantially co-planar with the feature surfaces and the recessed portions. The etch-resistant mask is then removed, and second, oxide-based and third, low k dielectric layers deposited over the planarized surface.
    Type: Grant
    Filed: August 17, 2000
    Date of Patent: December 9, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Susan H. Chen, Paul R. Besser
  • Patent number: 6660573
    Abstract: A method of forming a gate electrode, capable of minimizing a resistance difference between the gate electrodes and a method of forming a non-volatile memory device using the same, wherein an oxide film pattern, a polysilicon layer pattern and a hard mask pattern are stacked on a semiconductor substrate to form a gate structure; a gate spacer including an oxide-based insulating material is formed on a sidewall of the gate structure; the hard mask pattern stacked on the gate structure is removed to expose the polysilicon layer pattern; the polysilicon layer pattern and the top portion of the gate spacer are planarized; a stopping layer and an insulating interlayer are then formed and planarized by CMP. Thus, the thickness of the films for forming the gate electrode and, consequently the gate electrode resistance of a semiconductor device, are uniform across the wafer.
    Type: Grant
    Filed: June 26, 2002
    Date of Patent: December 9, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Myoung-Sik Han
  • Patent number: 6660619
    Abstract: A method for forming a dual damascene conductive line and conductive plug using porous low k dielectric materials in the via and trench layers. The via layer is provided with dense low k dielectric plugs that increase the mechanical strength of the porous low k dielectric layer that forms the via layer. A via fill technique etches some of the dielectric plugs in the via layer and fills them with conductive material. The via fill technique reduces the damage done to the via holes in the via layer caused by photoresist removal processes.
    Type: Grant
    Filed: January 29, 2002
    Date of Patent: December 9, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Suzette K. Pangrle, Lynne A. Okada, Fei Wang
  • Patent number: 6660634
    Abstract: The adhesion of a diffusion barrier or capping layer to a Cu or Cu alloy interconnect member is significantly enhanced by treating the exposed surface of the Cu or Cu alloy interconnect member: (a) under plasma conditions with ammonia and silane or dichlorosilane to form a copper silicide layer thereon; or (b) with an ammonia plasma followed by reaction with silane or dichlorosilane to form a copper silicide layer thereon. The diffusion barrier layer is then deposited on the copper silicide layer. Embodiments include electroplating or electroless plating Cu or a Cu alloy to fill a damascene opening in a dielectric interlayer, chemical mechanical polishing, then treating the exposed surface of the Cu/Cu alloy interconnect to form the copper silicide layer thereon, and depositing a silicon nitride diffusion barrier layer on the copper silicide layer.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: December 9, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Shekhar Pramanick, Takeshi Nogami
  • Patent number: 6649539
    Abstract: A method for reducing damage to a semiconductor structure resulting from migration of constituents of a first component part (3) of the structure into a subsequently deposited second component part (8) of the structure which makes contact with a surface of the first component part (3). A third component part (10) of the structure is deposited before the second component part (8), the third component part (10) being positioned so as to be contacted by the second component part (8) adjacent the said surface of the first component part (3). The third component part (10) has a composition such that it acts as a donor of constituents (12) to the second component part. The donor constituents (12) migrate into the second component part (8) when the second component part (8) is deposited and reduce the migration of constituents (11) of the first component part (3) into the second component part (8). If the first component part (3) is silicon, the third component part (10) may be polysilicon.
    Type: Grant
    Filed: July 9, 2001
    Date of Patent: November 18, 2003
    Assignee: Zetex PLC
    Inventor: David Neil Casey
  • Patent number: 6649515
    Abstract: A method of forming an interconnection including the steps of depositing a first masking material over a first conductive region of an integrated circuit substrate and depositing a dielectric material over the first masking material. The method also includes forming a via through the dielectric material to expose the first masking material and a second masking material is deposited in a portion of the via. A trench is formed in the dielectric material over a portion of the via and the second masking material is removed from the via. The via is then extended through the first masking material and a conductive material is deposited in the via.
    Type: Grant
    Filed: September 30, 1998
    Date of Patent: November 18, 2003
    Assignee: Intel Corporation
    Inventors: Peter K. Moon, Makarem A. Hussein, Alan Myers, Charles Recchia, Sam Sivakumar, Angelo Kandas
  • Patent number: 6645851
    Abstract: A method of forming a planarized photoresist coating on a substrate having holes with different duty ratios is described. A first photoresist preferably comprised of a Novolac resin and a diazonaphthoquinone photoactive compound is coated on a substrate and baked at or slightly above its Tg so that it reflows and fills the holes. The photoresist is exposed without a mask at a dose that allows the developer to thin the photoresist to a recessed depth within the holes. After the photoresist is hardened with a 250° C. bake, a second photoresist is coated on the substrate to form a planarized film with a thickness variation of less than 50 Angstroms between low and high duty ratio hole regions. One application is where the second photoresist is used to form a trench pattern in a via first dual damascene method. Secondly, the method is useful in fabricating MIM capacitors.
    Type: Grant
    Filed: September 17, 2002
    Date of Patent: November 11, 2003
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chia-Tung Ho, Feng-Jia Shih, Jieh-Jang Chen, Ching-Sen Kuo, Shih-Chi Fu, Gwo-Yuh Shiau, Chia-Shiung Tsia
  • Patent number: 6645848
    Abstract: This invention relates to a method of improving the fabrication of etched semiconductor devices by using a patterned adhesion promoter layer over a hydrocarbon planarization material. More specifically, the present invention improves the bonding of a metal interconnect layer to a hydrocarbon planarization material, such as polyimide, by inserting an adhesion promotion layer, such as silicon nitride, between the hydrocarbon planarization material and the metal interconnect layer. A process for improving the fabrication of etched semiconductor devices, comprises the steps of: (1) depositing a hydrocarbon planarization material over a substrate; (2) depositing an adhesion promoter over the hydrocarbon planarization material; (3) defining a first mask and etching back the adhesion promoter so as to form an adhesion promoter pad over a portion of the hydrocarbon planarization material; and (4) depositing a first metal over the adhesion promoter pad.
    Type: Grant
    Filed: June 1, 2001
    Date of Patent: November 11, 2003
    Assignee: Emcore Corporation
    Inventors: John R. Joseph, Wenlin Luo, Kevin L. Lear, Robert P. Bryan
  • Patent number: 6642135
    Abstract: A fabrication method for forming a semiconductor device having a fuse is provided. A substrate includes a cell array area, a peripheral circuit area and a global step difference between the cell array area and the peripheral circuit area. The substrate comprises a fuse formed in the peripheral circuit of the substrate. An interlayer insulating layer is formed on the global step difference. The global step difference is reduced by a cell open process. A multilevel metal interconnection including an intermetal insulating layer is formed on the resultant structure. During the cell open process and/or the process for forming the multilevel metal interconnection, the interlayer insulating layer and/or the intermetal insulating layer is partially removed to form a recess. A passivation layer is formed on the multilevel metal interconnection. A fuse opening is formed through the recess to expose the fuse.
    Type: Grant
    Filed: October 29, 2002
    Date of Patent: November 4, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Min-Sang Kim, Dong-Won Shin
  • Patent number: 6642145
    Abstract: An integrated circuit and manufacturing method therefor is provided having a semiconductor substrate with a semiconductor device. A dielectric layer formed over the semiconductor substrate has an opening provided therein. The dielectric layer is of non-barrier dielectric material capable of being changed into a barrier dielectric material. The dielectric layer around the opening is changed into the barrier dielectric material and the conductor core material is deposited to fill the opening. The conductor core is processed to form a channel for the integrated circuit.
    Type: Grant
    Filed: August 22, 2002
    Date of Patent: November 4, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Steven C. Avanzino, Pin-Chin Connie Wang, Minh Van Ngo
  • Patent number: 6642140
    Abstract: Explosive forces are used to fill interconnect material into trenches, via holes and other openings in semiconductor products. The interconnect material may be formed of metal. The metal may be heated prior to the force filling step. The explosive forces may be generated, for example, by igniting mixtures of gases such as hydrogen and oxygen, or liquids such as alcohol and hydrogen peroxide. To control or buffer the explosive force, a baffle may be interposed between the explosions and the products being processed. The baffle may be formed of a porous material to transmit waves to the semiconductor products while protecting the products from contaminants. Various operating parameters, including the flow rate of the fuel and the oxidizing materials, may be positively controlled. In another embodiment of the invention, a piston is used to transmit the explosive force. If desired, an annular space at the periphery of the piston may be maintained at atmospheric pressure to protect against wafer contamination.
    Type: Grant
    Filed: September 3, 1998
    Date of Patent: November 4, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Scott E. Moore
  • Patent number: 6635562
    Abstract: Methods for making an aluminum-containing metallization structure, methods and solutions for cleaning a polished aluminum-containing layer, and the structures formed by these methods. The methods for making the aluminum-containing metallization structure are practiced by providing a substrate, forming a metal layer with an upper surface containing aluminum over the substrate, polishing the metal layer, and contacting the polished surface of the metal layer with a solution comprising water and at least one corrosion-inhibiting agent. The method for cleaning the polished aluminum-containing layer is practiced by contacting a polished aluminum-containing layer with a solution comprising water and a corrosion-inhibiting agent. In these methods and solutions, the water may be deionized water, the corrosion-inhibiting agent may be citric acid or one of its salts, and the solution may contain additional additives, such as chelating agents, buffers, oxidants, anti-oxidants, and surfactants.
    Type: Grant
    Filed: April 25, 2001
    Date of Patent: October 21, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Michael T. Andreas
  • Publication number: 20030186531
    Abstract: A method for forming the electrical interconnect levels and circuit elements of an integrated circuit is provided by the present invention. The method utilizes a relatively thin layer of conductive material having a higher resistance than the metal typically used to form electrical interconnections, such as titanium nitride, to provide relatively short local interconnections between circuit elements of the integrated circuit. In addition, this same thin layer of conductive material is used to form macro elements such as capacitors, resistors, and fuses in the integrated circuit. By allowing the removal of space consuming transverse electrical interconnect lines from the interconnect levels, the present invention increases the routing density of the electrical interconnect levels.
    Type: Application
    Filed: March 27, 2003
    Publication date: October 2, 2003
    Applicant: LSI Logic Corporation
    Inventors: Derryl D.J. Allman, James R. Hightower, Phonesavanh Saopraseuth
  • Publication number: 20030186538
    Abstract: Provided are an inter-metal dielectric pattern and a method of forming the same. The pattern includes a lower interconnection disposed on a semiconductor substrate, a lower dielectric layer having a via hole exposing the lower interconnection and covering the semiconductor substrate where the lower interconnection is disposed, and an upper dielectric pattern and a lower capping pattern, which include a trench line exposing the via hole and sequentially stacked on the lower dielectric layer. The lower dielectric layer and the upper dielectric pattern are low k-dielectric layers formed of materials such as SiO2, SiOF, SiOC, and porous dielectric. The method includes forming an inter-metal dielectric layer including a lower dielectric layer and upper dielectric layer, which are sequentially stacked, on a lower interconnection formed on a semiconductor substrate. The inter-metal dielectric layer is patterned to form a via hole, which exposes the upper side of the lower interconnection.
    Type: Application
    Filed: April 1, 2003
    Publication date: October 2, 2003
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Soo-Geun Lee, Ju-Hyuk Chung, Il-Goo Kim, Kyoung-Woo Lee, Wan-Jae Park, Jae-Hak Kim
  • Patent number: 6627549
    Abstract: In the fabrication of integrated circuits, one specific technique for making surfaces flat is chemical-mechanical planarization. However, this technique is quite time consuming and expensive, particularly as applied to the numerous intermetal dielectric layers—the insulative layers sandwiched between layers of metal wiring—in integrated circuits. Accordingly, the inventor devised several methods for making nearly planar intermetal dielectric layers without the use of chemical-mechanical planarization and methods of modifying metal layout patterns to facilitate formation of dielectric layers with more uniform thickness. These methods of modifying metal layouts and making dielectric layers can be used in sequence to yield nearly planar intermetal dielectric layers with more uniform thickness.
    Type: Grant
    Filed: March 7, 2001
    Date of Patent: September 30, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Werner Juengling
  • Patent number: 6624065
    Abstract: A method of fabricating a semiconductor device using a damascene metal gate including the steps of forming a damascene gate oxide layer and a damascene gate electrode on a semiconductor substrate, forming a trench at an upper part of the damascene gate electrode by selectively etching a portion of the damascene gate electrode to a predetermined thickness, forming an insulating layer in the trench on the damascene gate electrode, forming an insulating interlayer on an upper surface of the entire structure, and forming a contact hole exposing a portion of the semiconductor substrate by selectively etching the insulating interlayer.
    Type: Grant
    Filed: October 12, 2001
    Date of Patent: September 23, 2003
    Assignee: Hynix Semiconductor Inc.
    Inventors: Se Aug Jang, Woo Seock Cheong
  • Patent number: 6610596
    Abstract: A method is provided for forming a metal interconnection using a plating process, which can improve the throughput and reliability of semiconductor devices by decreasing the required polishing in a chemical mechanical polishing process. A semiconductor device manufactured by this method is also provided. In the method of forming a metal interconnection, a recess region is formed in a portion of an insulation layer formed over a substrate, i.e., where a metal interconnection layer will be formed. A diffusion prevention layer is formed over the substrate, the insulation layer, and the recess region. Then, a metal seed layer is formed over the diffusion prevention layer only in the recess region using a chemical mechanical polishing process or an etch back process. A conductive plating layer is then formed on the metal seed layer only in the recess region. Thereafter, surface polarization is performed to form a metal interconnection layer in the recess region.
    Type: Grant
    Filed: September 14, 2000
    Date of Patent: August 26, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-won Lee, Bo-un Yoon, Kun-tack Lee, Sang-rok Hah
  • Publication number: 20030157795
    Abstract: A semiconductor manufacturing process that includes providing an insulating material, providing a first photoresist over the insulating material, defining and patterning the first photoresist, anisotropically etching the insulating material to form at least one groove in the insulating material, removing the first photoresist, providing a second photoresist over the insulating material, defining and patterning the second photoresist to form a plurality of tops and sidewalls, depositing a layer of carbon-fluoride material over the tops and sidewalls of the defined and patterned second photoresist, and anisotropically etching the insulating layer to form at least one opening, wherein the at least one opening is aligned with the at least one groove.
    Type: Application
    Filed: February 19, 2002
    Publication date: August 21, 2003
    Applicant: Macronix International Co. Ltd.
    Inventors: Chia-Chi Chung, Chen-Chen Calvin Hsueh
  • Patent number: 6600229
    Abstract: An electronic component contemplated comprises a) a substrate layer, b) a dielectric layer coupled to the substrate layer, c) a barrier layer coupled to the dielectric layer, d) a conductive layer coupled to the barrier layer, and e) a protective layer coupled to the conductive layer. The electronic component contemplated herein can be produced by a) providing a substrate; b) coupling a dielectric layer to the substrate; c) coupling a barrier layer to the dielectric layer; d) coupling a conductive layer to the barrier layer; and e) coupling a protective layer to the conductive layer. The protective layer may then be cured to a desirable hardness.
    Type: Grant
    Filed: May 1, 2001
    Date of Patent: July 29, 2003
    Assignee: Honeywell International Inc.
    Inventors: Shyama Mukherjee, Joseph Levert, Donald DeBear
  • Patent number: 6593202
    Abstract: In a method of fabricating a COB DRAM cell, a polysilicon plug is formed on the source and drain in self-alignment with the gate electrode. A bit line contact and a storage electrode contact are formed on the polysilicon plug thereby to reduce the aspect ratio of both the bit line contact and the storage electrode contact. With the polysilicon plug formed in self-alignment with the gate electrode, short-circuiting of contacts of adjacent element regions and short-circuiting of the plugs of the source and drain will not occur, leading to high protection against misregistration. Moreover, an independent lithography process is not required for forming the polysilicon plug, and, therefore, the number of fabrication steps is reduced.
    Type: Grant
    Filed: July 23, 2001
    Date of Patent: July 15, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Keiji Hosotani, Yusuke Kohyama
  • Patent number: 6589862
    Abstract: The invention relates to cured dielectric films and a process for their manufacture which are useful in the production of integrated circuits. Dual layered dielectric films are produced in which a lower layer comprises a non-silicon containing organic polymer and an upper layer comprises an organic, silicon containing polymer. Such films are useful in the manufacture of microelectronic devices such as integrated circuits (IC's). In one aspect the upper layer silicon containing polymer has less than 40 Mole percent carbon containing substituents, and in another aspect it has at least approximately 40 Mole percent carbon containing substituents.
    Type: Grant
    Filed: February 13, 2002
    Date of Patent: July 8, 2003
    Assignee: AlliedSignal Inc.
    Inventors: Shi-Qing Wang, Jude Dunne, Lisa Figge