Selective Deposition Of Conductive Layer Patents (Class 438/674)
  • Patent number: 6979642
    Abstract: A method of forming a conductive structure such as a copper conductive structure, line, or via is optimized for large grain growth and distribution of alloy elements. The alloy elements can reduce electromigration problems associated with the conductive structure. The conductive structure is self-annealed or first annealed in a low temperature process over a longer period of time. Another anneal is utilized to distribute alloy elements.
    Type: Grant
    Filed: July 28, 2003
    Date of Patent: December 27, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Matthew S. Buynoski, Connie Pin-Chin Wang, Paul R. Besser, Minh Q. Tran
  • Patent number: 6977222
    Abstract: The invention saves resources and energy. A cleaning/fluid-feeding head integrates a cleaning head portion and a fluid-feeding head portion. The cleaning head portion includes an organic substance cleaning unit, an inorganic substance cleaning unit, a rinsing unit and a drying unit. The organic substance cleaning unit, inorganic substance cleaning unit and rinsing unit selectively clean pattern forming regions on a substrate by feeding thereto a first cleaning fluid, second cleaning fluid and pure water, respectively. The drying unit dries the rinsed pattern forming regions by blowing hot air thereonto. The fluid-feeding head portion selectively feeds a liquid pattern forming material to the cleaned pattern forming regions.
    Type: Grant
    Filed: February 20, 2003
    Date of Patent: December 20, 2005
    Assignee: Seiko Epson Corporation
    Inventor: Yoshiaki Mori
  • Patent number: 6967154
    Abstract: A method of enhanced atomic layer deposition is described. In an embodiment, the enhancement is the use of plasma. Plasma begins prior to flowing a second precursor into the chamber. The second precursor reacts with a prior precursor to deposit a layer on the substrate. In an embodiment, the layer includes at least one element from each of the first and second precursors. In an embodiment, the layer is TaN. In an embodiment, the precursors are TaF5 and NH3. In an embodiment, the plasma begins during the purge gas flow between the pulse of first precursor and the pulse of second precursor. In an embodiment, the enhancement is thermal energy. In an embodiment, the thermal energy is greater than generally accepted for ALD (>300 degrees Celsius). The enhancement assists the reaction of the precursors to deposit a layer on a substrate.
    Type: Grant
    Filed: August 26, 2002
    Date of Patent: November 22, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Shuang Meng, Garo J. Derderian, Gurtej Singh Sandhu
  • Patent number: 6967162
    Abstract: A semiconductor chip having an exposed metal terminating pad thereover, and a separate substrate having a corresponding exposed metal bump thereover are provided. A conducting polymer plug is formed over the exposed metal terminating pad. A conforming interface layer is formed over the conducting polymer plug. The conducting polymer plug of the semiconductor chip is aligned with the corresponding metal bump. The conforming interface layer over the conducting polymer plug is mated with the corresponding metal bump. The conforming interface layer is thermally decomposed, adhering and permanently attaching the conducting polymer plug with the corresponding metal bump. Methods of forming and patterning a nickel carbonyl layer are also disclosed.
    Type: Grant
    Filed: November 15, 2004
    Date of Patent: November 22, 2005
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Simon Chooi, Yakub Aliyu, Mei Sheng Zhou, John Sudijono, Subhash Gupta, Sudipto Roy, Paul Ho, Yi Xu
  • Patent number: 6964922
    Abstract: Methods of forming an integrated circuit device can include forming an interlevel dielectric film on an integrated circuit substrate including a conductive portion thereof. The interlevel dielectric film includes a contact hole therein exposing a portion of the conductive portion of the integrated circuit substrate, and the dielectric film includes a trench therein communicating with the contact hole wherein the trench is in a surface of the interlevel dielectric film opposite the integrated circuit substrate. A first metal layer is formed in the contact hole preferentially with respect to formation of the first metal layer on a surface of the interlevel dielectric film opposite the integrated circuit substrate. After preferentially forming the first metal layer in the contact hole, a second metal layer is formed on the surface of the interlevel dielectric film opposite the integrated circuit substrate.
    Type: Grant
    Filed: January 28, 2003
    Date of Patent: November 15, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-myeong Lee, Hyeon-deok Lee, In-sun Park, Ju-bum Lee
  • Patent number: 6962875
    Abstract: A method of forming a variable contact structure, and the structure so formed, comprising forming a via within the device, wherein a diameter of the via is variably determined depending upon the number of wires to be contacted.
    Type: Grant
    Filed: June 11, 2004
    Date of Patent: November 8, 2005
    Assignee: International Business Machines Corporation
    Inventor: Anthony K. Stamper
  • Patent number: 6960783
    Abstract: An organic memory cell made of two electrodes with a selectively conductive media between the two electrodes is disclosed. The selectively conductive media contains an organic layer and passive layer. The selectively conductive media is programmed by applying bias voltages that program a desired impedance state for a memory cell. The desired impedance state represents one or more bits of information and the memory cell does not require constant power or refresh cycles to maintain the desired impedance state. Furthermore, the selectively conductive media is read by applying a current and reading the impedance of the media in order to determine the impedance state of the memory cell. Methods of making the organic memory devices/cells, methods of using the organic memory devices/cells, and devices such as computers containing the organic memory devices/cells are also disclosed.
    Type: Grant
    Filed: May 13, 2003
    Date of Patent: November 1, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Zhida Lan, Colin Bill, Michael A. VanBuskirk
  • Patent number: 6955997
    Abstract: A method of manufacturing a semiconductor device, including depositing a first layer of dielectric material onto the device, laser thermal annealing a surface of the first layer, and depositing a second layer of dielectric material over the laser thermal annealed surface of the first layer. The two layers are preferably low dielectric constant (“low-k”) material that form an inter-layer dielectric (“ILD”) layer of a semiconductor device. According to one aspect of the invention, a third layer of low-k material is deposited over the second layer and a surface of the third layer is also laser thermal annealed.
    Type: Grant
    Filed: May 16, 2003
    Date of Patent: October 18, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Arvind Halliyal, Minh Van Ngo
  • Patent number: 6951811
    Abstract: A method of production of a semiconductor device able to utilize a conventional production system for a resin board to thereby produce a wafer level package without increasing the production cost, comprising electrolessly plating the electrode terminals to cover the surfaces of the electrode terminals by a protective film protecting the electrode terminals from laser beams; grinding the back side of the semiconductor wafer to reduce the thickness of the semiconductor wafer before or after forming the protective film; covering the entirety of the electrode terminal forming surface and back side of the semiconductor wafer, having the electrode terminals covered by a protective film and processed to reduce the thickness of the semiconductor wafer, by a resin to form a laminate; and focusing a laser beam toward the electrode terminal forming surface of the semiconductor wafer from outside the laminate to form via holes with the protective film exposed at their bottom surfaces, then filling the via holes by electr
    Type: Grant
    Filed: April 21, 2004
    Date of Patent: October 4, 2005
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventor: Haruo Sorimachi
  • Patent number: 6946332
    Abstract: The specification describes a contact printing technique for forming patterns of thin films with nanometer resolution over large areas. The procedure, termed here “nanotransfer printing (nTP)”, relies on tailored surface chemistries for transferring thin films, typically metal films, from the raised regions of a stamp to a substrate when these two elements are brought into intimate physical contact. This technique is purely additive, it is fast (<15 s contact times), and the printing occurs in a single processing step at room temperature in open air. nTP is capable of producing patterns with a wide range of features with sizes down to ˜100 nm, and edge resolution better than 25 nm. Electrical contacts and interconnects have been fabricated for high performance organic thin film transistors (TFTs) and complementary inverter circuits, to demonstrate one of the many potential applications for nTP.
    Type: Grant
    Filed: March 15, 2002
    Date of Patent: September 20, 2005
    Assignee: Lucent Technologies Inc.
    Inventors: Yueh-Lin Loo, John A. Rogers
  • Patent number: 6946388
    Abstract: A method for fabricating semiconductor devices is disclosed, the method including forming a landing plug on a lower interlayer insulating film, successively depositing an upper interlayer insulating film and a nitride film, forming a bit line contact hole, depositing a conductive layer for a contact plug, and forming a contact plug through a CMP process.
    Type: Grant
    Filed: November 30, 2004
    Date of Patent: September 20, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hyung Soon Park
  • Patent number: 6939799
    Abstract: A method of forming integrated circuitry includes forming a field effect transistor gate over a substrate. The gate comprises polysilicon conductively doped with a conductivity enhancing impurity of a first type and a conductive diffusion barrier layer to diffusion of first or second type conductivity enhancing impurity received thereover. An insulative layer is formed over the gate. An opening is formed into the insulative layer to a conductive portion of the gate. Semiconductive material conductively doped with a conductivity enhancing impurity of a second type is formed within the opening in electrical connection with the conductive portion, with the conductive diffusion barrier layer of the gate being received between the semiconductive material of the gate and the semiconductive material within the opening. Other aspects are disclosed and claimed.
    Type: Grant
    Filed: April 24, 2002
    Date of Patent: September 6, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Charles H. Dennison
  • Patent number: 6936906
    Abstract: The present invention generally relates to filling of a feature by depositing a barrier layer, depositing a seed layer over the barrier layer, and depositing a conductive layer over the seed layer. In one embodiment, the seed layer comprises a copper alloy seed layer deposited over the barrier layer. For example, the copper alloy seed layer may comprise copper and a metal, such as aluminum, magnesium, titanium, zirconium, tin, and combinations thereof. In another embodiment, the seed layer comprises a copper allloy seed layer deposited over the barrier layer and a second seed layer deposited over the copper alloy seed layer. The copper alloy seed layer may comprise copper and a metal, such as aluminum, magnesium, titanium, zirconium, tin, and combinations thereof The second seed layer may comprise a metal, such as undoped copper. In still another embodiment, the seed layer comprises a first seed layer and a second seed layer.
    Type: Grant
    Filed: September 26, 2001
    Date of Patent: August 30, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Hua Chung, Ling Chen, Jick Yu, Mei Chang
  • Patent number: 6936536
    Abstract: The present invention is generally directed to various methods of forming conductive through-wafer vias. In one illustrative embodiment, the method comprises providing a layer of semiconducting material, forming a layer of metal on a first side of the layer of semiconducting material, forming an opening in the layer of semiconducting material to thereby expose a portion of the layer of metal, the opening extending from at least a second side of the layer of semiconducting material to the layer of metal, and performing a deposition process to form a conductive contact in the opening using the exposed portion of the metal layer as a seed layer.
    Type: Grant
    Filed: October 9, 2002
    Date of Patent: August 30, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Nishant Sinha
  • Patent number: 6933216
    Abstract: After a barrier film is formed on a pad electrode, Ni particles having a diameter of 2 ?m or less are selectively deposited on the barrier film, thereby forming a Ni fine particle film. Then, a bump electrode made of a solder ball is provided on the pad electrode through the Ni fine particle film. Thereafter, the bump electrode is melted by a heat treatment to join the Ni fine particle film to the bump electrode. Thus, a bump electrode structure is finished.
    Type: Grant
    Filed: December 9, 2002
    Date of Patent: August 23, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsuko Sakata, Keiichi Sasaki, Nobuo Hayasaka, Katsuya Okumura, Hirotaka Nishino
  • Patent number: 6933230
    Abstract: The inventor devised methods of forming interconnects that result in conductive structures with fewer voids and thus reduced electrical resistance. One embodiment of the method starts with an insulative layer having holes and trenches, fills the holes using a selective electroless deposition, and fills the trenches using a blanket deposition. Another embodiment of this method adds an anti-bonding material, such as a surfactant, to the metal before the electroless deposition, and removes at least some the surfactant after the deposition to form a gap between the deposited metal and interior sidewalls of the holes and trenches. The gap serves as a diffusion barrier. Another embodiments leaves the surfactant in place to serve as a diffusion barrier. These and other embodiments ultimately facilitate the speed, efficiency, or fabrication of integrated circuits.
    Type: Grant
    Filed: March 8, 2002
    Date of Patent: August 23, 2005
    Assignee: Intel Corporation
    Inventor: Valery Dubin
  • Patent number: 6933225
    Abstract: Thin films are formed by atomic layer deposition, whereby the composition of the film can be varied from monolayer to monolayer during cycles including alternating pulses of self-limiting chemistries. In the illustrated embodiments, varying amounts of impurity sources are introduced during the cyclical process. A graded gate dielectric is thereby provided, even for extremely thin layers. The gate dielectric as thin as 2 nm can be varied from pure silicon oxide to oxynitride to silicon nitride. Similarly, the gate dielectric can be varied from aluminum oxide to mixtures of aluminum oxide and a higher dielectric material (e.g., ZrO2) to pure high k material and back to aluminum oxide. In another embodiment, metal nitride (e.g., WN) is first formed as a barrier for lining dual damascene trenches and vias. During the alternating deposition process, copper can be introduced, e.g.
    Type: Grant
    Filed: September 23, 2002
    Date of Patent: August 23, 2005
    Assignee: ASM International N.V.
    Inventors: Christiaan J. Werkhoven, Ivo Raaijmakers, Suvi P. Haukka
  • Patent number: 6927164
    Abstract: A first conductive type layer having a band gap energy smaller than that of an under growth layer formed on a substrate is formed by selective growth from an opening portion formed in the under growth layer, and an active layer and a second conductive type layer are stacked on the first conductive type layer, to form a stacked structure. When such a stacked structure for forming a semiconductor device is irradiated with laser beams having an energy value between the band gap energies of the under growth layer and the first conductive type layer, abrasion occurs at a first conductive type layer side interface between the under growth layer and the first conductive type layer, so that the stacked structure is peeled from the substrate and the under growth layer and simultaneously isolated from another stacked structure for forming another semiconductor device.
    Type: Grant
    Filed: August 2, 2002
    Date of Patent: August 9, 2005
    Assignee: Sony Corporation
    Inventors: Goshi Biwa, Hiroyuki Okuyama, Masato Doi, Toyoharu Oohata
  • Patent number: 6913998
    Abstract: Metallic films are grown with a “spongelike” morphology in the as-deposited condition using planar magnetron sputtering. The morphology of the deposit is characterized by metallic continuity in three dimensions with continuous and open porosity on the submicron scale. The stabilization of the spongelike morphology is found over a limited range of the sputter deposition parameters, that is, of working gas pressure and substrate temperature. This spongelike morphology is an extension of the features as generally represented in the classic zone models of growth for physical vapor deposits. Nickel coatings were deposited with working gas pressures up 4 Pa and for substrate temperatures up to 1000 K. The morphology of the deposits is examined in plan and in cross section views with scanning electron microscopy (SEM).
    Type: Grant
    Filed: July 1, 2003
    Date of Patent: July 5, 2005
    Assignee: The Regents of the University of California
    Inventors: Alan F. Jankowski, Jeffrey P. Hayes, Jeffrey D. Morse
  • Patent number: 6913995
    Abstract: Disclosed is a method of forming a barrier metal in the semiconductor device. The method comprises the steps of a) patterning a porous film on a base layer to form a via hole, b) depositing a CVD TiN film on the entire structure including the via hole, c) implementing a plasma treatment process using N2+H2, d) repeatedly implementing the steps (b) and (c) in order to bury only the pores formed on the surface of the porous film with CVD TiN, and e) forming a barrier metal on the entire structure including the via hole. Therefore, the present invention can prevent introduction of the conductive material into the base layer in a subsequent process.
    Type: Grant
    Filed: July 14, 2003
    Date of Patent: July 5, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Chang Jin Ko
  • Patent number: 6908826
    Abstract: The present invention relates to a semiconductor device and a method of fabricating the same for simplifying a fabrication process of the semiconductor device and enhancing the performance and yield of the device. A first metal wiring on a semiconductor substrate serves as a first electrode of a metal-insulator-metal (MIM) capacitor. A dielectric film pattern is formed on the first metal wiring. A first via-contact plug on the dielectric film pattern contacts a side of the first metal wiring. An interlayer insulation film is formed having second via-contact plugs in a parallel array structure. The second via-contact plugs contact the dielectric film pattern and serve as a second electrode of the MIM capacitor. A second metal wiring is formed on the interlayer insulation film to contact the first via-contact plug and the second via-contact plugs.
    Type: Grant
    Filed: June 2, 2004
    Date of Patent: June 21, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Kil Ho Kim
  • Patent number: 6905964
    Abstract: An improved and new process for fabricating self-aligned metal barriers by atomic layer deposition, ALD, capable of producing extremely thin, uniform, and conformal metal barrier films, selectively depositing on copper, not on silicon dioxide interlevel dielectric, in multi-layer dual damascene trench/via processing. Silicon nitride is presently used as a insulating copper barrier. However, silicon nitride has a relatively high dielectric constraint, which deteriorates ICs with increased RC delay. Copper metal barriers of niobium and tantalum have been deposited by atomic layer deposition on copper. With high deposition selectivity, the barrier metal is only deposited over copper, not on silicon dioxide, which eliminates the need of an insulating barrier of silicon nitride.
    Type: Grant
    Filed: January 9, 2003
    Date of Patent: June 14, 2005
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Boon Kiat Lim, Alex See
  • Patent number: 6897148
    Abstract: A through hole (114) is formed in a wafer (104) comprising a semiconductor substrate (110). A seed layer (610) is sputtered on the bottom surface of the wafer. The seed is not deposited over the through hole's sidewalls adjacent the top surface of the wafer. A conductor (810) is electroplated into the through hole. In another embodiment, a seed is deposited into an opening in a wafer through a dry film resist mask (1110). The dry film resist overhangs the edges of the opening, so the seed is not deposited over the opening's sidewalls adjacent the top surface of the wafer. In another embodiment, a dielectric (120) is formed in an opening in a semiconductor substrate (110) by a non-conformal physical vapor deposition (PVD) process that deposits the dielectric on the sidewalls but not the bottom of the opening. A seed (610) is formed on the bottom by electroless plating. A conductor (810) is electroplated on the seed.
    Type: Grant
    Filed: April 9, 2003
    Date of Patent: May 24, 2005
    Assignee: Tru-Si Technologies, Inc.
    Inventors: Patrick A. Halahan, Sam Kao, Bosco Lan, Sergey Savastiouk, Oleg Siniaguine
  • Patent number: 6881672
    Abstract: A selectively silicided semiconductor structure and a method for fabricating same is disclosed herein. The semiconductor structure has silicide present on the polysilicon line between the N+ diffusion or N+ active area and the P+ diffusion or active area at the N+/P+ junction of the polysilicon line, and silicide is not present on the N+ active area and the P+ active area. The presence of this selective silicidation creates a beneficial low-resistance connection between the N+ region of the polysilicon line and the P+ region of the polysilicon line. The absence of silicidation on the N+ and P+ active areas, specifically on the PFET and NFET structures, prevents current leakage associated with the silicidation of devices.
    Type: Grant
    Filed: November 26, 2003
    Date of Patent: April 19, 2005
    Assignee: International Business Machines Corporation
    Inventors: Matthew J. Breitwisch, Jeffrey S. Brown, Terence B. Hook, Randy W. Mann, Christopher S. Putnam, Mohammad I. Younus
  • Patent number: 6872972
    Abstract: Roughly described, a silicon layer transitions from polysilicon at one surface to amorphous silicon at the opposite surface. The transition can be monotonic, and can be either continuous or it can change abruptly from polysilicon to amorphous silicon. If such a layer is formed as the floating gate of a floating gate transistor structure, the larger grain structure adjacent to the tunnel dielectric layer reduces the formation of a tip (protrusion) and thus reduces leakage. On the other hand, the smaller grain structure adjacent to the gate dielectric layer produces a smooth, more uniform gate dielectric layer. The polysilicon-to-amorphous silicon transistor can be fabricated with a temperature profile that favors polysilicon formation at the start of floating gate deposition, and transitions during deposition to a temperature that favors amorphous silicon deposition at the end of floating gate deposition.
    Type: Grant
    Filed: July 16, 2003
    Date of Patent: March 29, 2005
    Assignee: Macronix International Co., Ltd.
    Inventors: Chih Yuan Huang, Jonason Chen
  • Patent number: 6869874
    Abstract: The present invention provides a method for forming a contact plug of a semiconductor device with a low contact resistance. The inventive method includes the steps of: forming a contact hole in an inter-layer insulating layer formed on a silicon substrate; removing a native oxide layer formed in the contact hole; forming a single crystal silicon layer on a surface of the silicon substrate in the contact hole, wherein the single crystal silicon layer is formed by an epitaxial growth performed at a first reaction chamber of which pressure is maintained less than approximately 10?6 Torr; and filling the contact hole with polysilicon, wherein the polysilicon layer is formed at a second reaction chamber.
    Type: Grant
    Filed: December 30, 2002
    Date of Patent: March 22, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventors: Hai-Won Kim, Su-Jin Chae
  • Patent number: 6864175
    Abstract: The invention relates to a method in which an eclectically nonconductive mask layer is applied to an electrically conductive contact layer which is supported by a substrate layer. A free space is made in the mask layer. Then, a plurality of layers are electrochemically deposited in the free space. Then, layers are applied above the layer which was deposited last. Then, in a removal process, the mask layer is removed down to the height of the top layer.
    Type: Grant
    Filed: September 20, 2001
    Date of Patent: March 8, 2005
    Assignee: Infineon Technologies AG
    Inventors: Manfred Engelhardt, Stefan Wurm
  • Patent number: 6864173
    Abstract: Disclosed is a method for forming bit lines of a semiconductor device capable of solving an issue on overlay between a bit line contact and a bit line when bit lines of DRAM are formed.
    Type: Grant
    Filed: July 10, 2003
    Date of Patent: March 8, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jong Hwan Kim
  • Patent number: 6864172
    Abstract: A manufacturing method of a semiconductor device of this invention includes forming metal pads on a Si substrate through a first oxide film, bonding the Si substrate and a holding substrate which bolsters the Si substrate through a bonding film, forming an opening by etching the Si substrate followed by forming a second oxide film on a back surface of the Si substrate and in the opening, forming a wiring connected to the metal pads after etching the second oxide film, forming a conductive terminal on the wiring, dicing from the back surface of the Si substrate to the bonding film and separating the Si substrate and the holding substrate.
    Type: Grant
    Filed: June 17, 2003
    Date of Patent: March 8, 2005
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Takashi Noma, Hiroyuki Shinogi, Yukihiro Takao
  • Patent number: 6861355
    Abstract: A seed film and methods incorporating the seed film in semiconductor applications is provided. The seed film includes one or more noble metal layers, where each layer of the one or more noble metal layers is no greater than a monolayer. The seed film also includes either one or more conductive metal oxide layers or one or more silicon oxide layers, where either layer is no greater than a monolayer. The seed film can be used in plating, including electroplating, conductive layers, over at least a portion of the seed film. Conductive layers formed with the seed film can be used in fabricating an integrated circuit, including fabricating capacitor structures in the integrated circuit.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: March 1, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Eugene P. Marsh
  • Patent number: 6858475
    Abstract: A method of forming an integrated circuit substrate that may be adapted to be attached to one or more electronic components. The method includes applying a resist to a back side of a substrate which includes patterned conductive layers on a front side and a back side of the substrate. The method further includes removing part of the patterned conductive layer from the front side of the substrate to form pads and interconnects on the front side of the substrate and applying another resist to the front side of the substrate. The method also includes forming a pattern in each resist that exposes the pads on the front and back sides of the substrate and applying electrolytic nickel to the pads on the substrate.
    Type: Grant
    Filed: June 30, 2003
    Date of Patent: February 22, 2005
    Assignee: Intel Corporation
    Inventors: Charan K. Gurumurthy, Hamid Azimi, Arthur K. Lin
  • Patent number: 6858479
    Abstract: A method for forming a low resistively copper conductor line includes forming a silver material layer on silicon material, and forming a copper material layer on the silver material layer using an electroplating process.
    Type: Grant
    Filed: December 20, 2002
    Date of Patent: February 22, 2005
    Assignee: LG.Philips LCD Co., Ltd.
    Inventors: Jae-Jeong Kim, Soo-Kil Kim, Yong-Shik Kim
  • Patent number: 6855624
    Abstract: A transmission line for an integrated circuit (IC) is composed of an assemblage of connected, individual transmission line portions. According to one embodiment, the transmission line assemblage includes two or more (i.e. a plurality of) vertically disposed, electrically connected, individual transmission line portions. Each transmission line portion is electrically connected to a vertically adjacent transmission line portion. Preferably, each transmission line portion is formed in a separate layer of the IC with connections between the transmission line portions formed by vias. As such, the subject transmission tine exhibits a low capacitance and a characteristic impedance that is easily driven. In another form, the subject invention is a system, process and/or apparatus for forming a transmission line on an integrated circuit (i.e. an on-chip transmission line). The transmission line is formed of an assemblage of connected, individual transmission lines such as those described above.
    Type: Grant
    Filed: September 17, 2002
    Date of Patent: February 15, 2005
    Assignee: LSI Logic Corporation
    Inventors: Payman Zarkesh-Ha, Kenneth J. Doniger
  • Patent number: 6838370
    Abstract: The present invention is directed to suppressing the rise of a dielectric constant of insulating film during a procedure of burying wiring in semiconductor devices by using a damascene process, and it is also directed to simplifying a process of manufacturing the semiconductor devices. In terms of a process step of forming protection film on a metal layer during the damascene process, there is employed a combined arrangement of a wash unit where particles are removed from polished substrates with a processing unit where a solution containing an organic substance such as benzotriazole, which tends to be bound to the metal layers, is applied to the metal layers over the substrates after the particles are removed therefrom. For the combined arrangement of the processing unit and the wash unit, either a batch processing unit or a mono/serial processing unit can be employed.
    Type: Grant
    Filed: September 8, 2000
    Date of Patent: January 4, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Takayuki Niuya, Michihiro Ono, Hideto Goto
  • Publication number: 20040266065
    Abstract: A composite carbon nanotube structure comprising a number of carbon nanotubes disposed in a metal matrix. The composite carbon nanotube structure may be used as a thermal interface device in a packaged integrated circuit device.
    Type: Application
    Filed: June 25, 2003
    Publication date: December 30, 2004
    Inventors: Yuegang Zhang, Valery M. Dubin, C. Michael Garner
  • Publication number: 20040266073
    Abstract: A step of forming wiring using first solution ejection means for ejecting a conductive material, a step of forming a resist mask on the wiring using second solution ejection means, and a step of etching the wiring using an atmospheric-pressure plasma device having linear plasma generation means or an atmospheric-pressure plasma device having a plurality of linearly-arranged plasma-generation-means using the resist mask as a mask are included.
    Type: Application
    Filed: February 6, 2004
    Publication date: December 30, 2004
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Publication number: 20040253816
    Abstract: This invention relates to a method of forming high resolution patterns of material on a substrate by way of catalytic reactions.
    Type: Application
    Filed: April 28, 2004
    Publication date: December 16, 2004
    Inventors: William Norman Damerell, Anthony William Kynaston-Pearson, Daniel Robert Johnson
  • Publication number: 20040253835
    Abstract: A method of fabricating a pattern on a substrate, comprises the steps of: depositing; such as by ink-jet printing, multiple drops of a first liquid material as a first deposit (15) on the substrate: depositing, such as by ink-jet printing, multiple drops of a second liquid material (17) as a second deposit on the substrate, and in contact with the first material (15) while the first material is liquid, the first and second liquid materials being mutually immiscible; and producing on the substrate a solid deposit from at least one of said liquid materials. In a preferred embodiment, the method comprises ink-jet printing multiple drops of liquid material immiscible with said second liquid material as a third deposit (16) on the substrate, the third deposit (16) being spaced from the first (15) by a predetermined gap and the second deposit (17) applied in said gap overlapping the first and third deposits (15, 16).
    Type: Application
    Filed: April 27, 2004
    Publication date: December 16, 2004
    Inventor: Takeo Kawase
  • Publication number: 20040238370
    Abstract: A method of manufacturing a printed circuit board is disclosed. A seed layer is removed while etching of a circuit pattern is prevented. In a printed circuit board manufacturing process according to a semi-additive method, a seed layer is formed by electroless copper plating. Using a resist pattern, a circuit pattern is formed by electrolytic copper plating. After the formation of the circuit pattern, the exposed regions of seed layer are subjected to etching. According to the invention, an etching liquid at a temperature of about 15° C. or less is used. As a temperature of the etching liquid is lowered, a potential difference between the seed layer and the circuit pattern increases. Due to the increase in potential difference, the seed layer becomes more susceptible to being etched, while the circuit pattern becomes less susceptible to being etched.
    Type: Application
    Filed: May 7, 2004
    Publication date: December 2, 2004
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ryoichi Watanabe, Tatsuji Yamada, Shogo Mizumoto, Fumio Kumokawa
  • Patent number: 6825135
    Abstract: A method of forming a programmable conductor memory cell array is disclosed wherein metal and chalcogenide glass are co-sputtered to fill an array of cell vias in a prepared substrate. The prepared substrate is heated above room temperature before the metal and chalcogenide glass film is deposited, and the heating is maintained throughout the deposition. The resulting metal/chalcogenide glass film has good homogeneity, a desired ratio of components, and has a regular surface.
    Type: Grant
    Filed: June 6, 2002
    Date of Patent: November 30, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Jiutao Li, Allen McTeer
  • Publication number: 20040235297
    Abstract: A method of removing excess conductive material over a patterned insulating layer by reverse electroplating. A semiconductor wafer is submerged in an electroplating solution, and the semiconductor wafer functions as an anode in the reverse electroplating process. Bulk conductive material from the wafer surface is deposited to a cathode that is also submerged in the electroplating solution. Damascene conductive regions may be formed using the reverse electroplating process without causing damage to the top surface of the first insulating layer or causing dishing or erosion of top surface of the conductive material.
    Type: Application
    Filed: May 23, 2003
    Publication date: November 25, 2004
    Inventor: Bih-Tiao Lin
  • Patent number: 6821888
    Abstract: A semiconductor chip having an exposed metal terminating pad thereover, and a separate substrate having a corresponding exposed metal bump thereover are provided. A conducting polymer plug is formed over the exposed metal terminating pad. A conforming interface layer is formed over the conducting polymer plug. The conducting polymer plug of the semiconductor chip is aligned with the corresponding metal bump. The conforming interface layer over the conducting polymer plug is mated with the corresponding metal bump. The conforming interface layer is thermally decomposed, adhering and permanently attaching the conducting polymer plug with the corresponding metal bump. Methods of forming and patterning a nickel carbonyl layer are also disclosed.
    Type: Grant
    Filed: February 13, 2002
    Date of Patent: November 23, 2004
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Yakub Aliyu, Simon Chooi, Meisheng Zhou, John Sudijono, Subhash Gupta, Sudipto Ranendra Roy
  • Publication number: 20040229449
    Abstract: A method of depositing a metal film on a substrate includes a supercritical preclean step, a supercritical desorb step, and a metal deposition step. Preferably, the preclean step comprises maintaining supercritical carbon dioxide and a chelating agent in contact with the substrate in order to remove an oxide layer from a metal surface of the substrate. More preferably, the preclean step comprises maintaining the supercritical carbon dioxide, the chelating agent, and an acid in contact with the substrate. Alternatively, the preclean step comprises maintaining the supercritical carbon dioxide and an amine in contact with the oxide layer. The desorb step comprises maintaining supercritical carbon dioxide in contact with the substrate in order to remove adsorbed material from the substrate.
    Type: Application
    Filed: June 16, 2004
    Publication date: November 18, 2004
    Inventors: Maximilian A. Biberger, Paul E. Schilling
  • Publication number: 20040219771
    Abstract: A pattern forming method has the steps of: forming a pattern by discharging droplets of a conductive material forming solution onto an insulating substrate; forming a conductive layer pattern on the pattern by discharging droplets of a solution which becomes a growth core; and forming a metal pattern by immersing the conductive layer pattern in a plating liquid. The pattern forming method may further have the step of forming a protective layer on a surface of the metal pattern by discharging droplets of an insulating material forming solution except at regions which are to become electrodes of the metal pattern.
    Type: Application
    Filed: May 27, 2004
    Publication date: November 4, 2004
    Applicant: FUJI PHOTO FILM CO., LTD.
    Inventors: Toshiaki Fukunaga, Mitsuru Sawano
  • Patent number: 6810814
    Abstract: A method and an apparatus for fabricating a pattern which makes it possible to obtain a wide pattern having edges of a preferable shape. The apparatus for fabricating a pattern ejects a liquid material as liquid droplets from an ejecting section, and dispose the liquid droplets on a substrate in a line-shaped pattern. A plurality of line-shaped patterns are formed on the substrate by disposing a plurality of liquid droplets on the substrate in the line-shaped patterns, and then another set of liquid droplets are disposed between the line-shaped patterns so as to integrate the line-shaped patterns with each other.
    Type: Grant
    Filed: April 9, 2003
    Date of Patent: November 2, 2004
    Assignee: Seiko Epson Corporation
    Inventor: Hironori Hasei
  • Patent number: 6806186
    Abstract: Methods for depositing a metal into a micro-recessed structure in the surface of a microelectronic workpiece are disclosed. The methods are suitable for use in connection with additive free as well as additive containing electroplating solutions. In accordance with one embodiment, the method includes making contact between the surface of the microelectronic workpiece and an electroplating solution in an electroplating cell that includes a cathode formed by the surface of the microelectronic workpiece and an anode disposed in electrical contact with the electroplating solution. Next, an initial film of the metal is deposited into the micro-recessed structure using at least a first electroplating waveform having a first current density. The first current density of the first electroplating waveform is provided to enhance the deposition of the metal at a bottom of the micro-recessed structure.
    Type: Grant
    Filed: March 23, 2001
    Date of Patent: October 19, 2004
    Assignee: Semitool, Inc.
    Inventors: Linlin Chen, Lyndon W. Graham, Thomas L. Ritzdorf, Dakin Fulton, Robert W. Batz, Jr.
  • Patent number: 6803311
    Abstract: A method for forming a metal thin film is suitable for suppressing the deterioration of a throughput according to enlarging a purge time to prevent the metal precursor from mixing with a reaction gas in a reactor during the deposition of an atomic layer. The method includes the steps of flowing a reaction gas into a reactor loaded therein a substrate, flowing a metal precursor in a pulse form into the reactor, activating the reaction gas by exiting a plasma in a pulse form to change with a pulse of the metal precursor in the reactor, alternately and depositing a metal thin film in a unit of an atomic layer by reacting the activated reaction gas with the metal precursor.
    Type: Grant
    Filed: December 27, 2002
    Date of Patent: October 12, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventor: Eun-Seok Choi
  • Patent number: 6803312
    Abstract: A method for fabricating a semiconductor device includes the steps of forming a mask on a predetermined layer, said mask having a first opening at a given side of the predetermined layer and a second opening that continues to and is smaller than the first opening, and forming a plating layer on the predetermined layer by using the mask.
    Type: Grant
    Filed: November 1, 2002
    Date of Patent: October 12, 2004
    Assignee: Fujitsu Quantum Devices Limited
    Inventor: Yutaka Sato
  • Publication number: 20040198041
    Abstract: In a method of manufacturing a semiconductor device, a tungsten layer pattern having an oxidized surface is formed on a substrate. A source gas including silicon is provided to the oxidized surface of the tungsten layer pattern to form a protecting layer on the oxidized surface of the tungsten layer pattern. The protecting layer prevents an abnormal growth of oxide contained in the oxidized surface. The protecting layer prevents a whisker from growing from the oxidized surface of the tungsten layer pattern.
    Type: Application
    Filed: April 1, 2004
    Publication date: October 7, 2004
    Inventors: Ju-Cheol Shin, Hong-Mi Park, In-Sun Park, Hyeon-Deok Lee
  • Patent number: 6801437
    Abstract: A method of forming electrically conductive elements on a base layer of an electronic substrate without the use of solder mask. A layer of electrically conductive material is deposited on the base layer, and a first layer of photo imageable ink is applied over the electrically conductive material layer. The first layer of photo imageable ink is patterned to expose portions of the electrically conductive material layer, which are then etched to resolve traces in the electrically conductive material layer. The first layer of photo imageable ink is removed, and a second layer of photo imageable ink is applied over the traces and channels between the traces. The second layer of photo imageable ink is then patterned to expose the traces, and a third layer of photo imageable ink is applied over the traces and the second layer of photo imageable ink. The third layer of photo imageable ink is patterned to expose deposition sites on the traces, within which are formed electrically conductive fingers.
    Type: Grant
    Filed: January 21, 2003
    Date of Patent: October 5, 2004
    Assignee: LSI Logic Corporation
    Inventors: Maurice O. Othieno, Manickam Thavarajah, Severino A. Legaspi, Jr., Pradip D. Patel