Selective Deposition Of Conductive Layer Patents (Class 438/674)
  • Patent number: 7253105
    Abstract: The present invention relates to methods of improving the fabrication of interconnect structures of the single or dual damascene type, in which there is no problem of hard mask retention or of conductivity between the metal lines after fabrication. The methods of the present invention include at least steps of chemical mechanical polishing and UV exposure or chemical repair treatment which steps improve the reliability of the interconnect structure formed. The present invention also relates to an interconnect structure which include a porous ultra low k dielectric of the SiCOH type in which the surface layer thereof has been modified so as to form a gradient layer that has both a density gradient and a C content gradient.
    Type: Grant
    Filed: February 22, 2005
    Date of Patent: August 7, 2007
    Assignee: International Business Machines Corporation
    Inventors: Christos D. Dimitrakopoulos, Stephen M. Gates, Vincent J. McGahay, Sanjay C. Mehta
  • Patent number: 7250366
    Abstract: Some embodiments of the present invention include fabricating carbon nanotube bundles with controlled length, diameter, and metallic contacts.
    Type: Grant
    Filed: March 15, 2005
    Date of Patent: July 31, 2007
    Assignee: Intel Corporation
    Inventor: Valery M. Dubin
  • Patent number: 7247560
    Abstract: A method has been disclosed that allows the selective deposition of the metal for double damascene silicon wafer processing. This selective deposition allows the metal to be deposited only in the via holes, contact holes, channels or where ever the deposition is targeted to be deposited on the wafer where it is needed. This method allows double damascene wafers to be processed with out the necessity of polishing back the whole surface of the wafer to remove metal from most of the wafer surface, as is currently the practice.
    Type: Grant
    Filed: March 1, 2006
    Date of Patent: July 24, 2007
    Inventors: Samuel Kinner, Gary Poovey
  • Patent number: 7235482
    Abstract: An atomic layer deposition method is used to deposit a TiN or TiSiN film having a thickness of about 50 nm or less on a substrat. A titanium precursor which is tetrakis(dimethylamido)titanium (TDMAT), tetrakis(diethylamido)titanium (TDEAT), or Ti{OCH(CH3)2}4 avoids halide contamination from a titanium halide precursor and is safer to handle than a titanium nitrate. After a monolayer of the titanium precursor is deposited on a substrate, a nitrogen containing reactant is introduced to form a TiN monolayer which is followed by a second purge. For TiSiN, a silicon source gas is fed into the process chamber after the TiN monolayer formation. The process is repeated several times to produce a composite layer comprised of a plurality of monolayers that fills a contact hole. The ALD method is cost effective and affords an interconnect with lower impurity levels and better step coverage than conventional PECVD or CVD processes.
    Type: Grant
    Filed: September 8, 2003
    Date of Patent: June 26, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chii-Ming Wu, Ming-Hsing Tsai, Ching-Hua Hsieh, Shau-Lin Shue
  • Patent number: 7232755
    Abstract: A process for fabricating a pad frame for an integrated circuit package includes building up metal on selective portions of a first side of a substrate to define a plurality of contact pads disposed in a first layer of dielectric material, depositing a metal seed layer on an exposed side of the contact pads and the dielectric material, applying a second metal layer on the metal seed layer, selectively etching the second metal layer and the metal seed layer to provide pad frame circuitry, and building up metal on selective portions of the pad frame circuitry to define a plurality of die connect pads separated by a second layer of dielectric material, the die connect pads being electrically connected to the contact pads by the pad frame circuitry.
    Type: Grant
    Filed: August 2, 2005
    Date of Patent: June 19, 2007
    Assignee: ASAT Ltd.
    Inventors: Neil McLellan, Chun Ho Fan, Kwok Cheung Tsang, Kin Pui Kwan
  • Patent number: 7220672
    Abstract: The invention provides a semiconductor device, and a manufacturing method, comprising a semiconductor substrate, a gate insulating film, a gate electrode, and a source-drain diffusion layer. A silicide film is formed on the gate electrode and the source-drain diffusion layer. The silicide film is thicker on the gate electrode than on the source-drain diffusion layer. The manufacturing method comprises forming a gate electrode on a gate insulating film, followed by forming a source-drain diffusion layer. Then, atoms inhibiting a silicidation are selectively introduced into the source-drain diffusion layer, and a high melting point metal film is formed on the gate electrode and the source-drain diffusion layer. The high melting point metal film is converted into silicide films selectively on the gate electrode and the source-drain diffusion layer.
    Type: Grant
    Filed: February 8, 2005
    Date of Patent: May 22, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Katsura Miyashita, Hisao Yoshimura, Mariko Takagi
  • Patent number: 7220657
    Abstract: A semiconductor wafer provided with columnar electrodes which have plated nickel, palladium, and gold films successively formed at the top thereof, or have a plated solder film at their top. The semiconductor wafer can be preferably used for producing a chip-sized semiconductor device provided with columnar electrodes to which an external connection terminal, such as a solder ball, is to be bonded. Methods of producing the semiconductor wafer and device by use of plating are also disclosed.
    Type: Grant
    Filed: December 20, 2002
    Date of Patent: May 22, 2007
    Assignee: Shinko Electric Industries, Co., Ltd.
    Inventors: Yoshihiro Ihara, Tsuyoshi Kobayashi, Shinichi Wakabayashi
  • Patent number: 7217653
    Abstract: The present invention provides an interconnects-forming method and an interconnects-forming apparatus which can minimize the lowering of processing accuracy in etching, minimize light exposure processing for the formation of interconnect recesses in the production of multi-level interconnects, improve the electromigration resistance of interconnects without impairing the electrical properties of the interconnects, and enhance the reliability of the device.
    Type: Grant
    Filed: July 22, 2004
    Date of Patent: May 15, 2007
    Assignee: Ebara Corporation
    Inventors: Xinming Wang, Daisuke Takagi, Akihiko Tashiro, Yukio Fukunaga, Akira Fukunaga
  • Patent number: 7217631
    Abstract: There are provided a semiconductor device and method for fabricating the device capable of achieving reliable electrical connection by securely directly bonding conductors to each other even though bonding surfaces are polished by a CMP method and solid-state-bonded to each other. By polishing according to the CMP method, a through hole conductor 5 and a grounding wiring layer 10, which are made of copper, become concave in a dish-like shape and lowered in level, causing a dishing portion 17 since they have a hardness lower than that of a through hole insulator 11 made of silicon nitride. The through hole insulator 11 is selectively etched by a reactive ion etching method until the through hole insulator 11 comes to have a height equal to the height of a bottom portion 19 of the dishing portion 17 of the through hole conductor 5. The through hole conductors 5 and 25 are aligned with each other, and the bonding surfaces 12 and 22 are bonded to each other in a solid state bonding manner.
    Type: Grant
    Filed: March 11, 2005
    Date of Patent: May 15, 2007
    Assignees: Sharp Kabushiki Kaisha, Oki Electric Industry Co., Ltd., Sanyo Electric Co., Ltd, Sony Corporation, Kabushiki Kaisha Toshiba, NEC Corporation, Renesas Technology Corp., Fujitsu Limited, Matsushita Electric Industrial Co., Ltd, Rohm Co., Ltd.
    Inventor: Tadatomo Suga
  • Patent number: 7216009
    Abstract: Programmable material consolidation systems employing a machine vision system in combination with a 3-D printing system for accurately locating a position over a support element and optionally on a substrate on the support element, and forming structural features thereon. By use of the machine vision system, the precise location on a substrate or support element may be determined and communicated to the dispense element of the 3-D printing system such that a flowable material may be deposited and consolidated at a desired location to form a structural feature. Methods for forming various features and structures on a substrate employing the systems of the present invention are also disclosed.
    Type: Grant
    Filed: June 14, 2004
    Date of Patent: May 8, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Warren M. Farnworth, Alan G. Wood
  • Patent number: 7211511
    Abstract: In bit line cladding structure formation, stability and margin of the process are secured and further shrinking is achieved, and the magnetic memory device is improved in speed, reliability and yield. Method for manufacturing a magnetic memory device, comprising the steps of: forming a word line; forming a magnetoresistance effect memory element comprising a tunnel insulating layer disposed between a ferromagnetic material and being electrically insulated from the word line; forming an insulating film for covering the memory element; and forming a bit line so that it is buried in the insulating film wherein the bit line is electrically connected to the memory element and spatially crosses the word line through the memory element disposed therebetween, wherein the method has steps of removing the insulating film on the bit line side to expose the bit line and forming a soft magnetic material layer selectively only on the bit line surface.
    Type: Grant
    Filed: March 9, 2004
    Date of Patent: May 1, 2007
    Assignee: Sony Corporation
    Inventor: Hiroshi Horikoshi
  • Patent number: 7205227
    Abstract: The invention includes methods for forming electrical connections associated with semiconductor constructions. A semiconductor substrate is provided which has a conductive line thereover, and which has at least two diffusion regions adjacent the conductive line. A patterned etch stop is formed over the diffusion regions. The patterned etch stop has a pair of openings extending through it, with the openings being along a row substantially parallel to an axis of the line. An insulative material is formed over the etch stop. The insulative material is exposed to an etch to form a trench within the insulative material, and to extend the openings from the etch stop to the diffusion regions. At least a portion of the trench is directly over the openings and extends along the axis of the line. An electrically conductive material is formed within the openings and within the trench.
    Type: Grant
    Filed: February 14, 2006
    Date of Patent: April 17, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Luan C. Tran, Fred D. Fishburn
  • Patent number: 7199043
    Abstract: Disclosed in a method of forming a copper wiring in a semiconductor device. A copper layer buries a damascene pattern in which an interlayer insulating film of a low dielectric constant. The copper layer is polished by means of a chemical mechanical polishing process to form a copper wiring within a damascene pattern. At this time, the chemical mechanical polishing process is overly performed so that the top surface of the copper wiring is concaved and is lower than the surface of the interlayer insulating film of the low dielectric constant neighboring it. Furthermore, an annealing process is performed so that the top surface of the copper wiring is changed from the concaved shape to a convex shape while stabilizing the copper wiring. A copper anti-diffusion insulating film is then formed on the entire structure including the top surface of the copper wiring having the convex shape.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: April 3, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Kyun Park
  • Patent number: 7186645
    Abstract: In one embodiment, a method including providing a semiconductor pad package having a first pad and a second pad is disclosed. A first layer comprising a first metal is deposited on the first pad using a first process. A second metal is then deposited on the first pad and the first layer using a second process. In another embodiment, the first process comprises and electroplating process, and the second process comprises a direct immersion gold (DIG) process. In a further embodiment, the first pad is a power or ground pad, and the second pad is a signal pad.
    Type: Grant
    Filed: October 13, 2003
    Date of Patent: March 6, 2007
    Assignee: Intel Corporation
    Inventors: Dustin P. Wood, Debendra Mallik
  • Patent number: 7183203
    Abstract: A method of forming a copper oxide film including forming a copper oxide film including an ammonia complex by causing a mixed solution of aqueous ammonia and aqueous hydrogen peroxide, which has been adjusted to have pH of 8 to 10 or pH of 9 to 10, to contact a surface of a copper film. A method of fabricating a semiconductor device including burying a copper film to be a wiring or a contact wiring in a wiring groove or a contact hole formed in a surface of an insulating film formed on a semiconductor substrate, or in both the wiring groove and the contact hole, forming a copper oxide film including an ammonia complex on a surface of the copper film by using the copper oxide film forming method, and removing the copper oxide film from the copper film using acid or alkali.
    Type: Grant
    Filed: November 1, 2004
    Date of Patent: February 27, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yoshihiro Uozumi
  • Patent number: 7176069
    Abstract: It is an object of the present invention to reduce the consumption of materials for manufacturing a display device, simplify the manufacturing process and the apparatus used for it, and lower the manufacturing costs. The present invention provides a technique to manufacture a display device, applying a means to form a pattern such as a contact hole formed in a semiconductor film, a wiring or an insulating film, or a mask pattern to form such a pattern by drawing directly, a means to remove a film, such as etching and ashing, and a film forming means to selectively form an insulating film, a semiconductor film and a metal film on a predetermined region.
    Type: Grant
    Filed: February 4, 2004
    Date of Patent: February 13, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Yasuyuki Arai
  • Patent number: 7172962
    Abstract: On a substrate are sequentially formed a first interconnection 203, a diffusion barrier film 205 and a second insulating film 207, and on the upper surface of the second insulating film 207 is then formed a sacrificial film 213. Next, a via hole 211 and an interconnection trench 217 are formed, and on the sacrificial film 213 are then formed a barrier metal film 219 and a copper film 221. CMP for removing the extraneous copper film 221 and barrier metal film 219 are conducted in a two-step process, i. e., the first polishing where polishing is stopped on the surface of the barrier metal film 219 and the second polishing where the remaining barrier metal film 219 and the tapered sacrificial film 213 are polished.
    Type: Grant
    Filed: December 1, 2003
    Date of Patent: February 6, 2007
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Yoshio Okayama, Hayato Nakashima, Yoshinari Ichihashi
  • Patent number: 7172933
    Abstract: A method of forming a channel region for a MOSFET device in a strained silicon layer via employment of adjacent and surrounding silicon-germanium shapes, has been developed. The method features simultaneous formation of recesses in a top portion of a conductive gate structure and in portions of the semiconductor substrate not occupied by the gate structure or by dummy spacers located on the sides of the conductive gate structure. The selectively defined recesses will be used to subsequently accommodate silicon-germanium shapes, with the silicon-germanium shapes located in the recesses in the semiconductor substrate inducing the desired strained channel region. The recessing of the conductive gate structure and of semiconductor substrate portions reduces the risk of silicon-germanium bridging across the surface of sidewall spacers during epitaxial growth of the alloy layer, thus reducing the risk of gate to substrate leakage or shorts.
    Type: Grant
    Filed: June 10, 2004
    Date of Patent: February 6, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi-Chun Huang, Bow-Wen Chan, Baw-Ching Perng, Lawrence Sheu, Hun-Jan Tao, Chih-Hsin Ko, Chun-Chieh Lin
  • Patent number: 7169703
    Abstract: A method of forming a metallic wiring layer in a selected region of a layer-stacked plate, which includes the first process of introducing gas consisting of organometallic molecules into a reaction chamber having a layer-stacked plate arranged therein, and forming an adsorbed molecular layer composed of the organometallic molecules on the layer-stacked plate; the second process of reducing the concentration of the gas in the reaction chamber or exhausting the reaction chamber, after forming the adsorbed molecular layer; the third process of carrying a light irradiation against a selected region on the layer-stacked plate; the fourth process of removing the adsorbed molecular layer formed in the region other than the selected region, from the layer-stacked plate; and the fifth process of forming a metallic film in the selected region.
    Type: Grant
    Filed: March 7, 2003
    Date of Patent: January 30, 2007
    Assignee: Kabushiki Kaisha Ekisho Sentan Gijutsu Kaihatsu Center
    Inventor: Shigeru Aomori
  • Patent number: 7157361
    Abstract: An integrated circuit package is processed by electroplating the integrated circuit package. The electroplating is performed without forming plating traces on a conductive surface of a pad side of the integrated circuit package. Pad areas of the integrated circuit package are thus plated with one or more materials. An integrated circuit may be electrically coupled to pad areas on the integrated circuit package. The integrated circuit package can be electroplated by using the one or more current sources coupled to a back plane of the integrated circuit package. The back plane is patterned, wherein the patterning of the back plane occurs after the step of electroplating.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: January 2, 2007
    Assignee: Agere Systems Inc.
    Inventors: Musawir M. Chowdhury, Charles Cohn
  • Patent number: 7158206
    Abstract: A fabricating method of a liquid crystal display device includes forming a gate line on a first substrate, forming a data line crossing the gate line, forming a switching element connected to the gate line and the data line, forming a pixel electrode connected to the switching element, forming a black matrix on a second substrate using a sputter, wherein the sputter includes a shield mask having an open portion larger than or equal to the second substrate, forming a color filter layer on the black matrix, forming a common electrode on the color filter layer, attaching the first and second substrates such that the pixel electrode faces the common electrode, and forming a liquid crystal layer between the pixel electrode and the common electrode.
    Type: Grant
    Filed: October 9, 2003
    Date of Patent: January 2, 2007
    Assignee: LG. Philips LCD Co., Ltd.
    Inventors: Jeong-Rok Kim, Kyung-Kyu Kang, Johann Jeong, Myung-Woo Nam, Jae-Deuk Shin
  • Patent number: 7148142
    Abstract: A system and method are provided to facilitate dual damascene interconnect integration in a single imprint step. The method provides for creation of a translucent imprint mold with three-dimensional features comprising the dual damascene pattern to be imprinted. The imprint mold is brought into contact with a photopolymerizable organosilicon imaging layer deposited upon a transfer layer which is spin coated or otherwise deposited upon a dielectric layer of a substrate. When the photopolymerizable layer is exposed to a source of illumination, it cures with a structure matching the dual damascene pattern of the imprint mold. A halogen breakthrough etch followed by oxygen transfer etch transfer the vias from the imaging layer into the transfer layer. A second halogen breakthrough etch followed by a second oxygen transfer etch transfer the trenches from the imaging layer into the transfer layer. A dielectric etch transfers the pattern from the transfer layer into the dielectric layer.
    Type: Grant
    Filed: June 23, 2004
    Date of Patent: December 12, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Srikanteswara Dakshina-Murthy, Bhanwar Singh, Khoi A. Phan
  • Patent number: 7141496
    Abstract: A method of treating a dielectric surface portion of a semiconductor substrate, comprising the steps of: (a) providing a semiconductor substrate having a dielectric surface portion; and then (b) treating said dielectric surface portion with a coating reagent, the coating reagent comprising a reactive group coupled to a coordinating group, with the coordinating group having a metal bound thereto, so that the metal is deposited on the dielectric surface portion to produce a surface portion treated with a metal.
    Type: Grant
    Filed: January 22, 2004
    Date of Patent: November 28, 2006
    Assignee: MiCell Technologies, Inc.
    Inventors: James P. DeYoung, James B. McClain, Stephen M. Gross, Doug Taylor, Mark I. Wagner, David Brainard
  • Patent number: 7129166
    Abstract: A method of forming an electronic circuit component using the technique of drop on demand printing to deposit droplets of deposition material, said method comprising depositing a plurality of droplets on a surface to form a patterned electronic device comprising multiple discrete portions.
    Type: Grant
    Filed: January 20, 2004
    Date of Patent: October 31, 2006
    Assignee: Patterning Technologies Limited
    Inventor: Stuart Philip Speakman
  • Patent number: 7122473
    Abstract: The present invention provides at least one nozzle that sprays a rotating workpiece with an etchant at an edge thereof. The at least one nozzle is located in an upper chamber of a vertically configured processing subsystem that also includes mechanisms for plating, cleaning and drying in upper and lower chambers.
    Type: Grant
    Filed: August 16, 2004
    Date of Patent: October 17, 2006
    Assignee: ASM Nutool, Inc.
    Inventors: Jalal Ashjaee, Rimma Volodarsky, Cyprian E. Uzoh, Bulent M. Basol, Homayoun Talieh
  • Patent number: 7112524
    Abstract: A substrate for a pre-soldering material and a fabrication method of the substrate are proposed. The substrate having at least one surface formed with a plurality of conductive pads is provided. An insulating layer is formed over the surface of the substrate in such a way that a top surface of each of the conductive pads is exposed. Next, a conductive film and a resist layer are formed in sequence on the insulating layer and the conductive pads, wherein a plurality of openings are formed in the resist layer to expose a part of the conductive film above the conductive pad. Then, a pre-soldering material is deposited over the conductive pad by stencil printing or electroplating process.
    Type: Grant
    Filed: January 29, 2004
    Date of Patent: September 26, 2006
    Assignee: Phoenix Precision Technology Corporation
    Inventors: Shih-Ping Hsu, Chu-Chin Hu
  • Patent number: 7109112
    Abstract: In a copper plating process, a seed layer is uniformly deposited over a surface, including lining a high aspect ratio trench defined by that surface. A mask layer is provided using a process that fails to deposit in the trench. In one exemplary embodiment, the failure is due to the decrease in the isotropic flux of neutrals toward the bottom of the trench. Copper is subsequently electroplated. Because the seed layer is exposed only within the trench, copper deposits only therein. The self-aligned mask prevents plating outside of the trench. A chemical-mechanical planarization step removes the mask and the seed layer extending beyond the trench, leaving a copper structure within the trench. The structure may serve as a conductive line, an interconnect, or a capacitor plate.
    Type: Grant
    Filed: June 3, 2004
    Date of Patent: September 19, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Dinesh Chopra, Kevin G. Donohoe, Cem Basceri
  • Patent number: 7105433
    Abstract: The present invention provides a method for treating the wafer surface, suitable for removing residues on the wafer surface. The method includes forming a photo-sensitive material layer over the wafer surface covering the bumps and the under bump metallurgy layer on the wafer surface. Using the bumps as masks, the photo-sensitive material layer is exposed and developed, to expose the wafer surface between the bumps. A wet etching process is then performed to remove residues on the exposed wafer surface and then the remained photo-sensitive material layer is removed. Therefore, no residues remain on the wafer surface, and the yield of the bumps is increased.
    Type: Grant
    Filed: March 2, 2004
    Date of Patent: September 12, 2006
    Assignee: Advanced Semiconductor Engineering, Inc.
    Inventors: Chi-Long Tsai, Min-Lung Huang
  • Patent number: 7084059
    Abstract: A system for dished metal redevelopment by providing a metal deposition solution at an interface between a moving semiconductor wafer and a moving polishing pad, which deposits metal onto dished metal in trenches in a layer of an interlayer dielectric; and by polishing the wafer with a relatively reduced polishing pressure to polish metal being deposited. A polishing fluid is disclosed for use in a CMP polishing system, the polishing fluid being a metal deposition solution for dished metal redevelopment.
    Type: Grant
    Filed: January 21, 2003
    Date of Patent: August 1, 2006
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Terence M. Thomas, Joseph K. So
  • Patent number: 7074690
    Abstract: Methods for selectively depositing a solid material on a substrate having gaps of dimension on the order of about 100 nm or less are disclosed. The methods involve exposing the substrate to a precursor of a solid material, such that the precursor forms liquid regions in at least some of the gaps, followed by exposing the substrate to conditions that evaporate the liquid precursor from regions outside the gaps but maintain at least some of the liquid regions in the gaps. The liquid precursor remaining in the gaps is then converted to solid material, thereby selectively filling the gaps with the material.
    Type: Grant
    Filed: March 25, 2004
    Date of Patent: July 11, 2006
    Assignee: Novellus Systems, Inc.
    Inventors: Vishal Gauri, Raashina Humayun
  • Patent number: 7071104
    Abstract: A technique to form a structure with a rough topography in a planarized semiconductor process. The rough topography is formed by creating cored contacts. Subsequent process layers may be further stacked on top of the cored contacts in order to augment the nonplanar characteristics of the cored contacts. This rough topography structure may be used to align integrated circuits and wafers. An integrated circuit may be laser aligned using this alignment structure.
    Type: Grant
    Filed: June 25, 2003
    Date of Patent: July 4, 2006
    Assignee: Altera Corporation
    Inventor: Raminda U. Madurawe
  • Patent number: 7067424
    Abstract: The present invention provides for a method of providing copper metallization on a semiconductor body, including the step of depositing copper in a nitrogen-containing atmosphere so as to form a nitrogen-containing copper seed layer and forming the copper metallization on the seed layer, and also including the step of heating the seed layer so as to release the nitrogen to form part of a barrier layer separating the seed layer from the semiconductor body.
    Type: Grant
    Filed: December 6, 2001
    Date of Patent: June 27, 2006
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Robertus Adrianus Maria Wolters, Anouk Maria Van Graven Claassen
  • Patent number: 7067368
    Abstract: A method of fabricating a complementary metal oxide semiconductor (CMOS) device, wherein the method comprises forming a first well region in a semiconductor substrate for accommodation of a first type semiconductor device; forming a second well region in the semiconductor substrate for accommodation of a second type semiconductor device; shielding the first type semiconductor device with a mask; depositing a first metal layer over the second type semiconductor device; performing a first salicide formation on the second type semiconductor device; removing the mask; depositing a second metal layer over the first and second type semiconductor devices; and performing a second salicide formation on the first type semiconductor device. The method requires only one pattern level and it eliminates pattern overlay as it also simplifies the processes to form different silicide material over different devices.
    Type: Grant
    Filed: October 20, 2005
    Date of Patent: June 27, 2006
    Assignee: International Business Machines Corporation
    Inventors: Sunfei Fang, Cyril Cabral, Jr., Chester T. Dziobkowski, John J. Ellis-Monaghan, Christian Lavoie, Zhijiong Luo, James S. Nakos, An L. Steegen, Clement H. Wann
  • Patent number: 7060613
    Abstract: A semiconductor chip having an exposed metal terminating pad thereover, and a separate substrate having a corresponding exposed metal bump thereover are provided. A conducting polymer plug is formed over the exposed metal terminating pad. A conforming interface layer is formed over the conducting polymer plug. The conducting polymer plug of the semiconductor chip is aligned with the corresponding metal bump. The conforming interface layer over the conducting polymer plug is mated with the corresponding metal bump. The conforming interface layer is thermally decomposed, adhering and permanently attaching the conducting polymer plug with the corresponding metal bump. Methods of forming and patterning a nickel carbonyl layer are also disclosed.
    Type: Grant
    Filed: November 15, 2004
    Date of Patent: June 13, 2006
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Simon Chooi, Yakub Aliyu, Mei Sheng Zhou, John Sudijono, Subhash Gupta, Sudipto Roy, Paul Ho, Xu Yi
  • Patent number: 7056827
    Abstract: Methods of filling trenches/gaps defined by circuit elements on an integrated circuit substrate are provided. The methods include forming a first high-density plasma layer on an integrated circuit substrate including at least one trench thereon using a first reaction gas. The first high-density plasma layer is etched using an etch gas including nitrogen fluoride gas (NF3). A second high-density plasma layer is formed on the etched first high-density plasma layer using a second reaction gas including nitrogen fluoride.
    Type: Grant
    Filed: August 13, 2004
    Date of Patent: June 6, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Won Cha, Kyu-tae Na
  • Patent number: 7052987
    Abstract: Integrated circuits having multi-level wiring layouts designed to inhibit the capacitive-resistance effect, and a method for fabricating such integrated circuits, is described. The integrated circuits have at least two planes of wiring adjacent to each other and extending in the same direction. One embodiment may further include a larger than normal insulator material between planes of wiring extending in one direction and at least one plane of wiring extending in a second direction transverse to the first direction. Each of the wiring channels in a wiring plane may be offset relative to a respective wiring channel in the next adjacent wiring plane which extends in the same direction.
    Type: Grant
    Filed: May 11, 2004
    Date of Patent: May 30, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Farrar
  • Patent number: 7052991
    Abstract: An electrodeposition film forming method includes forming an electrodeposited film of an electrodeposition coating material having good thermal fluidity by a first electrodeposition; forming an electrodeposition film in a very small through-hole provided on a conductive or semiconductive substrate; removing electrodeposited film at an opening portion of the through-hole under a wet-coated condition; and hardening the electrodeposition film to obtain a flat portion other than the opening portion. Then, a second electrodeposition film of an electrodeposition coating material having good thermal fluidity is formed around the opening portion and is hardened to coat uncoated portions of the opening portion remaining after the first deposition. Accordingly, a flat inner surface of the through-hole is obtained, any exposed portions of an underlayer at the opening of the through-hole are covered and the opening of the through-hole is maintained.
    Type: Grant
    Filed: June 17, 2004
    Date of Patent: May 30, 2006
    Assignee: Canon Kabushiki Kaisha
    Inventor: Masaki Mizuno
  • Patent number: 7049231
    Abstract: In but one aspect of the invention, a method of depositing polysilicon comprises providing a substrate within a chemical vapor deposition reactor, with the substrate having an exposed substantially crystalline region and an exposed substantially amorphous region. A gaseous precursor comprising silicon is fed to the chemical vapor deposition reactor under conditions effective to substantially selectively deposit polysilicon on the crystalline region and not the amorphous region. In another aspect a method of fabricating a field effect transistor on a substrate comprises forming a gate dielectric layer and a gate over semiconductive material. Doped source/drain regions are formed within semiconductive material laterally proximate the gate. Substantially amorphous insulating material is formed over and laterally proximate the gate. The substrate is provided within a chemical vapor deposition reactor.
    Type: Grant
    Filed: June 7, 2004
    Date of Patent: May 23, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Michael Nuttall, Er-Xuan Ping, Yongjun Jeff Hu
  • Patent number: 7049218
    Abstract: In a method of fabricating local interconnection, a selective epitaxial growth seed layer pattern is formed on a region of a semiconductor substrate where a local interconnection is to be formed. A selective epitaxial layer is formed by performing epitaxial growth on the resultant structure. The resistance of the selective epitaxial layer is reduced to complete the local interconnection.
    Type: Grant
    Filed: January 28, 2004
    Date of Patent: May 23, 2006
    Assignee: Samsung Electronics, Co. Ltd.
    Inventors: Jin-ho Choi, Han-su Oh
  • Patent number: 7045450
    Abstract: Disclosed is a method of manufacturing a semiconductor device. The method includes the steps of forming gates on a substrate, forming junction areas on a surface of the substrate, forming a first BPSG layer on a resultant structure of the substrate, performing a first CVD process for the first BPSG layer, forming a second BPSG layer on the first BPSG layer, forming a landing plug contact, depositing a polysilicon layer on a resultant structure of the substrate, and performing a second CMP process for the polysilicon layer, the second BPSG layer and the nitride hard mask. The CMP processes are carried by using acid slurry having a high polishing selectivity with respect to the nitride layer, so a step difference between the cell region and the peripheral region is removed, thereby simplifying the semiconductor manufacturing process and removing a dishing phenomenon.
    Type: Grant
    Filed: June 22, 2004
    Date of Patent: May 16, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sang Ick Lee, Jong Han Shin, Hyung Soon Park
  • Patent number: 7045445
    Abstract: Disclosed is a method for fabricating a semiconductor device by using a PECYCLE-CVD process. The method includes the steps of feeding source gas into a process chamber for predetermined time within one cycle, allowing reaction gas to flow in the process chamber at least until a plasma reaction is finished in the process chamber and feeding purge gas into the process chamber for a predetermined time within one cycle, thereby purging residual products remaining in the process chamber after source gas is reacted, forming plasma in the process chamber for a predetermined time within one cycle so as to allow reaction gas to react with plasma, thereby depositing a thin film on a wafer, and feeding purge gas into the process chamber for a predetermined time within one cycle, thereby purging residual products remaining in the process chamber after reaction gas is reacted. Superior step-coverage and uniformity of the thin film are achieved while depositing the thin film at a higher speed.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: May 16, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventors: Young Gi Kim, Sang Ho Woo, Seung Won Choi
  • Patent number: 7037812
    Abstract: A manufacturing method of a circuit substrate, in which an electronic circuit is formed on a surface of a base member by a solution jetting device. The manufacturing method comprises: jetting liquid drops of a solution which is supplied into a nozzle having a discharge port with an inner diameter of 0.1 ?m to 100 ?m and includes a plurality of fine particles to form an electronic circuit by melting and sticking to one another and a dispersant for dispersing the fine particles, from the discharge port toward the surface of the base member by applying a voltage of an arbitrary waveform to the solution to charge the solution; and exposing the jetted liquid drops received on the surface of the base member to light or heat to make the fine particles melt and stick to one another.
    Type: Grant
    Filed: September 22, 2003
    Date of Patent: May 2, 2006
    Assignees: Konica Minolta Holdings, Inc., National Institute of Advanced Industrial Science and Technology
    Inventors: Yuusuke Kawahara, Tetsuya Yoshida, Kazuhiro Murata, Hiroshi Yokoyama
  • Patent number: 7037833
    Abstract: Exemplary embodiments of the present invention provide a pattern forming method that secures sufficient alignment accuracy when a pattern is formed by droplet ejection. Exemplary embodiments provide a pattern on a substrate by placing a liquid material including a pattern forming material onto the substrate by droplet ejection, including placement of the liquid material including an alignment mark forming material, onto the substrate by the droplet ejection prior to forming the pattern; and placement of the pattern forming material by making use of a placed alignment mark.
    Type: Grant
    Filed: August 27, 2004
    Date of Patent: May 2, 2006
    Assignee: Seiko Epson Corporation
    Inventor: Hironori Hasei
  • Patent number: 7030001
    Abstract: One embodiment forms a gate dielectric layer over a substrate and then selectively deposits a first metal layer over portions of the gate dielectric layer in which a first device type will be formed. A second metal layer, different from the first metal layer, is formed over exposed portions of the gate dielectric layer in which a second device type will be formed. Each of the first and second device types will have different work functions because each will include a different metal in direct contact with the gate dielectric. In one embodiment, the selective deposition of the first metal layer is performed by ALD and with the use of an inhibitor layer which is selectively formed over the gate dielectric layer such that the first metal layer may be selectively deposited on only those portions of the gate dielectric layer which are not covered by the inhibitor layer.
    Type: Grant
    Filed: April 19, 2004
    Date of Patent: April 18, 2006
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Olubunmi O. Adetutu, Lynne M. Michaelson, Kathleen C. Yu, Robert E. Jones, Jr.
  • Patent number: 7026231
    Abstract: There is provided a method of producing an organic semiconductor device by which an organic semiconductor device having an optional configuration can easily be produced. A method of producing an organic semiconductor device comprising a gate insulating layer, a gate electrode, a source electrode, a drain electrode, and an organic semiconductor layer is provided which comprises the steps of: 1) forming a monomer layer of a conductive polymer precursor; 2) maintaining the monomer layer at a given temperature; and 3) applying an oxidizing agent solution to a desired location of the monomer layer to obtain a polymer layer of a desired conductivity.
    Type: Grant
    Filed: February 4, 2003
    Date of Patent: April 11, 2006
    Assignee: Canon Kabushiki Kaisha
    Inventors: Makoto Kubota, Motokazu Kobayashi
  • Patent number: 7022598
    Abstract: A method of producing a buried-type multilayer interconnection structure is provided. The method comprises steps of: forming a hole portion in an insulating layer; forming a catalyst layer having average film thickness from 0.2 nm to 10 nm on a surface of the hole portion by a physical vapor deposition method; forming an electroless plating layer on the surface of the hole portion by an electroless plating method using the catalyst layer as a catalyst; and burying up the hole portion with an electrolytic plating layer by an electrolytic plating method using the electroless plating layer as a seed layer.
    Type: Grant
    Filed: June 21, 2004
    Date of Patent: April 4, 2006
    Assignee: Semiconductor Technology Academic Research Center
    Inventors: Shoso Shingubara, Takayuki Takahagi, Zenglin Wang
  • Patent number: 7015139
    Abstract: A quantum device is constituted from a two-dimensional array of quantum dots formed from metal atom aggregates contained in a metalloprotein complex. The metalloprotein is arranged on the surface of a substrate having an insulation layer with a pitch of the size of the metalloprotein complex. The diameter of the metal atom aggregates used in the quantum device is 7 nm or smaller, and the pitch of the metalloprotein complex is preferably from 11 to 14 nm.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: March 21, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Ichiro Yamashita
  • Patent number: 7005378
    Abstract: Nanolithographic deposition of metallic nanostructures using coated tips for use in microelectronics, catalysis, and diagnostics. AFM tips can be coated with metallic precursors and the precursors patterned on substrates. The patterned precursors can be converted to the metallic state with application of heat. High resolution and excellent alignment can be achieved.
    Type: Grant
    Filed: August 26, 2003
    Date of Patent: February 28, 2006
    Assignee: Nanoink, Inc.
    Inventors: Percy Vandorn Crocker, Jr., Linette Demers, Nabil A. Amro
  • Patent number: 6998346
    Abstract: The present invention provides a method for the patterned metallization of a surface of a substrate, comprising the steps of preheating the substrate to a temperature which is below a deposition temperature of a predetermined metal dissolved in a fluid provided above the surface, and performing patterned deposition of the predetermined metal in predetermined regions on the surface of the substrate by locally increasing the temperature to above the deposition temperature.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: February 14, 2006
    Assignee: Infineon Technologies, AG
    Inventor: Günter Schmid
  • Patent number: 6989328
    Abstract: In copper plating using a damascene method, in order to prevent cost rise, dishing, erosion and the like due to the protrusion of plating on the dense wiring area to increase the time for CMP polishing, the copper plating is performed so that the current step of the copper plating has only one step for flowing current in the direction opposite to the direction of growing the plating as shown in FIG. 1. In this time, this opposite direction current step is performed under the condition of a current-time product within a range between 1.0 and 120 mA×sec/cm2.
    Type: Grant
    Filed: February 13, 2004
    Date of Patent: January 24, 2006
    Assignee: NEC Electronics Corporation
    Inventors: Koji Arita, Kaoru Mikagi, Ryohei Kitao