Including Change In Etch Influencing Parameter (e.g., Energizing Power, Etchant Composition, Temperature, Etc.) Patents (Class 438/714)
  • Patent number: 7037846
    Abstract: A method for creating and transporting low-energy ions for use in plasma processing of a semiconductor wafer is disclosed. In an exemplary embodiment of the invention, the method includes generating plasma from a gas species to produce a plasma exhaust. The plasma exhaust is then introduced into a processing chamber containing the wafer. The ion content of the plasma exhaust is enhanced by activating a supplemental ion source as the plasma is introduced into the processing chamber, thereby creating a primary plasma discharge therein. Then, the primary plasma discharge is directed into a baffle plate assembly, thereby creating a secondary plasma discharge exiting the baffle plate assembly. The strength of an electric field exerted on ions contained in the secondary plasma discharge is reduced. In so doing, the reduced strength of the electric field causes the ions to bombard the wafer at an energy insufficient to cause damage to semiconductor devices formed on the wafer.
    Type: Grant
    Filed: January 6, 2004
    Date of Patent: May 2, 2006
    Assignee: Axcelis Technologies, Inc.
    Inventors: Aseem Kumar Srivastava, Herbert Harold Sawin, Palanikumaran Sakthievel
  • Patent number: 7030027
    Abstract: A multi-layered film on a semiconductor substrate is etched with a multi-step etching process by sequentially providing a plurality of process gases having different compositions in a chamber. A plasma discharge to excite the process gases is continued without an interruption during a switch to a different process gas. A relationship between different process gases desirable for the continuous plasma excitation is also disclosed. An apparatus suitable to practice this continuous plasma excitation process includes a process gas supply system having a gas reservoir. A mixture of at least two component gases is prepared and reserved in the reservoir, and is supplied to the etching chamber when it is needed.
    Type: Grant
    Filed: November 20, 2000
    Date of Patent: April 18, 2006
    Assignee: Kawasaki Microelectronics, Inc.
    Inventor: Koji Suzuki
  • Patent number: 7029593
    Abstract: A method for controlling CD of etch process defines difference between designed dimension and etched dimension as dimensional displacement and defines target value of the dimensional displacement. A plurality of samples are prepared in each group having different exposure ratios. The plurality of samples of each group are etched until etch end point is detected and then over-etched for uniform time interval after detecting the etch end point. Using etch end point and over-etch time, correlation function of the over-etch time to the etch end point time is determined and the over-etch time to the etch end point is determined using the correlation function.
    Type: Grant
    Filed: October 14, 2003
    Date of Patent: April 18, 2006
    Assignee: Samsung Electronics Co., Ltd,
    Inventors: Myeong-Cheol Kim, Yong-Hoon Kim, Jeong-Yun Lee
  • Patent number: 7030029
    Abstract: A method for SAC etching is provided involving a) etching a Si wafer having a nitride present thereon with a first etching gas containing a first perfluorocarbon and carbon monoxide, and b) etching the resultant Si wafer having an initially etched nitride photoresist thereon with a second etching gas containing a second perfluorocarbon in the substantial absence of carbon monoxide, wherein the etching steps a) and b) are performed at high RF power and low pressure compared to conventional processes to provide higher selectivity etching and a larger process window for SAC etching, as well as the ability to perform SAC etching and island contact etching under the same conditions with high verticality of the island contact and SAC walls.
    Type: Grant
    Filed: May 10, 2001
    Date of Patent: April 18, 2006
    Assignee: Tokyo Electron Limited
    Inventor: Kazuo Tsuchiya
  • Patent number: 7029594
    Abstract: A plasma processing method for providing plasma processing to an object to be processed disposed within a vacuum processing chamber in which a process gas feeding device feeds process gas into the vacuum processing chamber, a wafer electrode is placed within the vacuum processing chamber for mounting the object to be processed, a wafer bias power generator applies self-bias voltage to the wafer electrode, and a plasma generator generates plasma within the vacuum processing chamber. The plasma processing method flattens either a positive side voltage or a negative side voltage of a voltage waveform of a high frequency voltage generated to the object at an arbitrary voltage.
    Type: Grant
    Filed: September 10, 2003
    Date of Patent: April 18, 2006
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Naoki Yasui, Masahiro Sumiya, Hitoshi Tamura, Seiichi Watanabe
  • Patent number: 7026252
    Abstract: After etching a Si-containing low permittivity insulating film with chlorine based gas, the etched wafer is subjected to an etching aftertreatment process comprising introducing oxygen gas to a vacuum processing chamber with a pressure as low as 0.2 Pa to 1 Pa and a flow rate as low as 5 cc to 20 cc/min, generating plasma within the chamber, heating the wafer 2 being subjected to aftertreatment between 50° C. and 200° C., applying a wafer bias power within the range of 50 W to 200 W, and exposing the wafer to the generated plasma, thereby simultaneously removing the photoresist components, the antireflection film components and the halogen components.
    Type: Grant
    Filed: February 25, 2003
    Date of Patent: April 11, 2006
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Michinobu Mizumura, Ryouji Fukuyama, Mamoru Yakushiji, Yutaka Ohmoto, Katsuya Watanabe
  • Patent number: 7022613
    Abstract: In accordance with one aspect of the present invention, a method is provided for transporting a workpiece in a semiconductor processing apparatus comprising a transfer chamber, a process chamber, and a gate valve between the transfer chamber and the process chamber. The method comprises vacuum pumping the transfer chamber to achieve a first pressure in the transfer chamber and vacuum pumping the process chamber to achieve a second pressure in the process chamber. An inert gas is flowed into the transfer chamber and shut off in the process chamber. The transfer chamber is isolated from pumping, but pumping continues from the process chamber. The gate valve is opened after isolating the transfer chamber from pumping. The workpiece is then transferred between the transfer chamber and the process chamber. A definitive flow direction from transfer chamber to process chamber is thereby achieved, minimizing risk of back-diffusion.
    Type: Grant
    Filed: February 24, 2004
    Date of Patent: April 4, 2006
    Assignee: ASM America, Inc.
    Inventors: Christophe Pomarede, Eric J. Shero, Olli Jylhä
  • Patent number: 7022616
    Abstract: This invention provides the following high-rate silicon etching method. An object to be processed W having a silicon region is so set as to be in contact with a process space in a process chamber that can be held in vacuum. An etching gas is introduced into the process space to form a gas atmosphere at a gas pressure of 13 Pa to 1,333 Pa (100 mTorr to 10 Torr). A plasma is generated upon application of RF power. In the plasma, the sum of the number of charged particles such as ions and the number of radicals increases, and etching of the silicon region is performed at a higher rate than in conventional etching.
    Type: Grant
    Filed: September 13, 2001
    Date of Patent: April 4, 2006
    Assignees: Tokyo Electron Limited, Kabushiki Kaisha Toshiba
    Inventors: Takanori Mimura, Kazuya Nagaseki, Itsuko Sakai, Tokuhisa Ohiwa
  • Patent number: 7022615
    Abstract: A plasma processing method for processing a surface of an object to be processed made of a metal or a semiconductor by applying activated particles generated by a microplasma generated at a pressure of not lower than 10,000 Pa and not higher than three atmospheric pressures to the surface of the object, the method includes removing a natural oxide film on the surface of the object, and etching a part or whole of a region in which the natural oxide film has been removed.
    Type: Grant
    Filed: November 25, 2003
    Date of Patent: April 4, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Tomohiro Okumura, Mitsuo Saitoh
  • Patent number: 7018931
    Abstract: Disclosed is a method of forming an isolation film in a semiconductor device. In the process of forming a stack structure of a pad oxide film and a pad nitride film that expose a semiconductor substrate in an isolation region, protrusions of a tail profile are formed at the bottom sidewalls of the pad nitride film and the pad oxide film adjacent to the surface of the substrate, and top corners of a trench are made rounded using the protrusions as an anti-etch film when the substrate is etched, Therefore, it is possible to prevent concentration of an electric field on the top corners of the trench and prohibit generation of the leakage current. Accordingly, reliability of the process and electrical characteristics of the device could be improved.
    Type: Grant
    Filed: July 31, 2003
    Date of Patent: March 28, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sung Hoon Lee
  • Patent number: 7018934
    Abstract: Method and apparatus for etching a metal layer disposed on a substrate, such as a photomask, are provided. In one aspect, a method is provided for processing a substrate including positioning the substrate in a processing chamber, introducing a processing gas comprising (i) hydrogen chloride, (ii) an oxygen containing gas, (iii) another chlorine containing gas, and optionally, (iv) an inert gas into the processing chamber, wherein the substrate is maintained at a reduced temperature, and the processing gas is excited into a plasma state at a reduced power level to etch exposed portions of the metal layer disposed on the substrate.
    Type: Grant
    Filed: September 4, 2002
    Date of Patent: March 28, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Melisa J. Buie, Brigitte C. Stoehr
  • Patent number: 7015141
    Abstract: A semiconductor device having high operating performance and reliability, and a manufacturing method thereof are provided. An LDD region 207 provided in an n-channel TFT 302 forming a driving circuit enhances the tolerance for hot carrier injection. LDD regions 217–220 provided in an n-channel TFT (pixel TFT) 304 forming a pixel portion greatly contribute to the decrease in the OFF current value. Here, the LDD region of the n-channel TFT of the driving circuit is formed such that the concentration of the n-type impurity element becomes higher as the distance from an adjoining drain region decreases.
    Type: Grant
    Filed: May 25, 2004
    Date of Patent: March 21, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 7012012
    Abstract: Thinning and dicing substrates using inductively coupled plasma reactive ion etching (ICP RIE). When dicing, a hard photo-resist pattern or metal mask pattern that defines scribe lines is formed on a sapphire substrate or on a semiconductor epitaxial layer, beneficially by lithographic techniques. Then, the substrate is etched along the scribe lines to form etched channels. An etching gas comprised of BCl3 and/or BCl3/Cl2 gas is used (optionally, Ar can be added). Stress lines are then produced through the substrate along the etched channels. The substrate is then diced along the stress lines. When thinning, a surface of a substrate is subjected to inductively coupled plasma reactive ion etching (ICP RIE) using BCl3 and/or BCl3/Cl2 gas, possibly with some Ar. ICP RIE is particularly useful when working sapphire and other hard substrates.
    Type: Grant
    Filed: August 30, 2004
    Date of Patent: March 14, 2006
    Assignee: LG Electronics Inc.
    Inventors: Geun-young Yeom, Myung cheol Yoo, Wolfram Urbanek, Youn-joon Sung, Chang-hyun Jeong, Kyong-nam Kim, Dong-woo Kim
  • Patent number: 7012026
    Abstract: A method of producing well-defined polycrystalline silicon regions is described, in particular for producing electrically conducting regions, in which a substrate is provided with an insulating layer and a layer of doped amorphous silicon, electromagnetic irradiation is performed using a laser source to produce the electrically conducting regions, and a shadow mask is positioned between the laser source and the substrate having the layer for definition of the contours of the electrically conducting regions.
    Type: Grant
    Filed: January 26, 2001
    Date of Patent: March 14, 2006
    Assignee: Robert Bosch GmbH
    Inventors: Walter Emili, Herbert Goebel, Harald Wanka
  • Patent number: 7008866
    Abstract: Large-scale trimming for forming ultra-narrow gates for semiconductor devices is disclosed. A hard mask layer on a semiconductor wafer below a patterned soft mask layer on the semiconductor wafer is etched to narrow a width of the hard mask layer. The hard mask layer is trimmed to further narrow the width of the hard mask layer, where the soft mask layer has been removed. At least a gate electrode layer below the hard mask layer on the semiconductor wafer is etched, resulting in the gate electrode layer having a width substantially identical to the width of the hard mask layer as trimmed. The gate electrode layer as etched forms the ultra-narrow gate electrode on the semiconductor wafer, where the hard mask layer has been removed.
    Type: Grant
    Filed: December 17, 2003
    Date of Patent: March 7, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co Ltd.
    Inventors: Ming-Jie Huang, Shu-Chih Yang, Huan-Just Lin, Yung-Tin Chen, Hun-Jan Tao
  • Patent number: 7008878
    Abstract: A method for dry etching a dielectric layer including providing a substrate; forming at least one overlying dielectric layer over the substrate; subjecting the at least one overlying layer to a plasma oxidizing process; and, subjecting the at least one overlying layer to a plasma etching process.
    Type: Grant
    Filed: December 17, 2003
    Date of Patent: March 7, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ju-Wang Hsu, Yuan-Hung Chiu, Hun-Jan Tao
  • Patent number: 7005386
    Abstract: According to one exemplary embodiment, a method for reducing resist height erosion in a gate etch process comprises a step of forming a first resist mask on an anti-reflective coating layer situated over a substrate, where the first resist mask has a first width. The anti-reflective coating layer may be, for example, an organic material. The method further comprises a step of trimming the first resist mask to form a second resist mask, where the second resist mask has a second width, and where the second width is less than the first width. The step of trimming the first resist mask may further comprise, for example, etching the anti-reflective coating layer. According to this exemplary embodiment, the method further comprises a step of performing an HBr plasma treatment on the second resist mask, wherein the HBr plasma treatment causes a vertical etch rate of the second resist mask to decrease.
    Type: Grant
    Filed: September 5, 2003
    Date of Patent: February 28, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Scott Bell, Srikanteswara Dakshina-Murthy, Chih-Yuh Yang, Ashok M. Khathuria
  • Patent number: 7005387
    Abstract: According to one exemplary embodiment, a method for forming a contact over a silicide layer situated in a semiconductor die comprises a step of depositing a barrier layer on sidewalls of a contact hole and on a native oxide layer situated at a bottom of the contact hole, where the sidewalls are defined by the contact hole in a dielectric layer. The step of depositing the barrier layer on the sidewalls of the contact hole and on the native oxide layer can be optimized such that the barrier layer has a greater thickness at a top of the contact hole than a thickness at the bottom of the contact hole. According to this exemplary embodiment, the method further comprises a step of removing a portion of the barrier layer and the native oxide layer situated at the bottom of the contact hole to expose the silicide layer.
    Type: Grant
    Filed: November 8, 2003
    Date of Patent: February 28, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Dawn Hopper, Hiroyuki Kinoshita, Christy Woo
  • Patent number: 7001848
    Abstract: Another embodiment of the instant invention is a method of fabricating a conductive interconnect for providing an electrical connection between a first conductor and a second conductor for an electrical device formed in a semiconductor substrate, the method comprising the steps of: forming a dielectric layer (layer 226 of FIG. 2a) on the first conductor (conductor 222 of FIG. 2a), the dielectric layer having at least one opening which exposes the first conductor; forming a layer of an oxygen-sensitive material (layer 234 of FIG.
    Type: Grant
    Filed: November 25, 1998
    Date of Patent: February 21, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Patricia B. Smith, David B. Aldrich, Stephen W. Russell
  • Patent number: 6992011
    Abstract: A cleaning method is provided using a cleaning gas mixture of hydrogen and inert gas, for example a mixture in which the hydrogen content is between 20 percent and 80 percent by volume, provided to the chamber of a semiconductor wafer processing apparatus and an ICP power source only to generate a high density plasma in the gas mixture without biasing the surface to be cleaned. In examples of the invention, Si and SiO2 contaminants or CFx contaminants are cleaned from a silicon contact prior to subsequent metal deposition. In another example of the invention, silicon residue is cleaned from internal chamber surfaces before oxide etching to recover the baseline oxide etch rate.
    Type: Grant
    Filed: January 15, 2003
    Date of Patent: January 31, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Takenao Nemoto, Emmanuel Guidotti, Gert Leusink
  • Patent number: 6984589
    Abstract: Conventionally, there is no method for quantitatively evaluating the three-dimensional shape of an etched pattern in a non-destructive manner and it takes much time and costs to determine etching conditions. With the conventional length measuring method only, it has been impossible to detect an abnormality in the three-dimensional shape and also difficult to control the etching process. According to the present invention, variations in signal amounts of an SEM image are utilized to compute three-dimensional shape data on the pattern associated with the etching process steps, whereby the three-dimensional shape is quantitatively evaluated. Besides, determination of etching process conditions and process control are performed based on the three-dimensional shape data obtained. The present invention makes it is possible to quantitatively evaluate the three-dimensional shape of the etched pattern in a non-destructive manner.
    Type: Grant
    Filed: June 13, 2003
    Date of Patent: January 10, 2006
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Maki Tanaka, Chie Shishido, Yuji Takagi
  • Patent number: 6982175
    Abstract: An improved method for determining endpoint of a time division multiplexed process by monitoring an identified region of a spectral emission of the process at a characteristic process frequency. The region is identified based upon the expected emission spectra of materials used during the time division multiplexed process. The characteristic process frequency is determined based upon the duration of the steps in the time division multiplexed process. Changes in the magnitude of the monitored spectra indicate the endpoint of processes in the time division multiplexed process and transitions between layers of materials.
    Type: Grant
    Filed: February 2, 2004
    Date of Patent: January 3, 2006
    Assignee: Unaxis USA Inc.
    Inventors: David Johnson, Russell Westerman
  • Patent number: 6974550
    Abstract: An apparatus for controlling the voltage applied to a shield interposed between an induction coil powered by a power supply via a matching network, and the plasma it generates, comprises a shield, a first feedback circuit, and a second feedback circuit. The power supply powers the shield. The first feedback circuit is connected to the induction coil for controlling the power supply. The second feedback circuit is connected to the shield for controlling the voltage of the shield. Both first and second feedback circuits operate at different frequency ranges. The first feedback circuit further comprises a first controller and a first sensor. The first sensor sends a first signal representing the power supplied to the inductive coil to the first controller. The first controller adjusts the power supply such that the power supplied to the inductor coil is controlled by a first set point. The second feedback circuit further comprises a second sensor, a second controller, and a variable impedance network.
    Type: Grant
    Filed: May 30, 2003
    Date of Patent: December 13, 2005
    Assignee: Lam Research Corporation
    Inventors: Neil Benjamin, Andras Kuthi
  • Patent number: 6972264
    Abstract: A method for dry-etching a Si substrate or a Si layer in a processing chamber includes the step of supplying an etching gas into the processing chamber, wherein the etching gas is a mixture gas including Cl2, O2 and NF3 and a residence time ? of the etching gas is equal to or greater than about 180 msec, the residence time ? being defined as: ?=pV/Q where p represents an inner pressure of the processing chamber; V, an effective volume of etching space formed on the Si substrate or the Si layer; and Q, a flow rate of the etching gas.
    Type: Grant
    Filed: September 5, 2003
    Date of Patent: December 6, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Yoshitaka Saita, Masashi Yamaguchi
  • Patent number: 6967170
    Abstract: The invention includes a method of patterning a material over a semiconductive substrate, comprising: a) forming a layer of first material against a second material and over the substrate, the substrate comprising a surface having a center and an edge; b) first etching the first material in a reaction chamber, the first etching comprising a first center-to-edge uniformity across the surface of the wafer and comprising a first selectivity for the first material relative to the second material; c) second etching the first material in the reaction chamber, the second etching comprising a second selectivity for the first material relative to the second material, the second center-to-edge uniformity being less than the first center-to-edge uniformity, the second selectivity being greater than the first selectivity; and d) cleaning a component of the first material from at least one sidewall of the reaction chamber between the first and second etchings.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: November 22, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Tuman Earl Allen, III
  • Patent number: 6967171
    Abstract: The insulation film etching method according to the present invention prevents the pause of etching an insulation film while ensuring a good anisotropic (vertical) configuration and high selectivity to both the mask and the base film. When the first step plasma etching using CHF3/Ar/N2 mixed gas is ended, Ar gas as a purging gas is fed into a processing vessel from an Ar gas supply source 46 with the plasmas extinguished, whereby residual hydrogen and hydrogen compounds in the processing vessel 10 are whirled by the purging gas to be discharged through an exhaust port 10b and through an exhaust pipe 52. When the purging step is completed, the second step plasma etching is performed with C4F8/Ar/N2 mixed gas.
    Type: Grant
    Filed: August 28, 2003
    Date of Patent: November 22, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Kiwamu Fujimoto, Nobuhiro Wada
  • Patent number: 6962879
    Abstract: A semiconductor manufacturing process wherein silicon nitride is plasma etched with selectivity to an overlying and/or underlying dielectric layer such as a silicon oxide or low-k material. The etchant gas includes a fluorocarbon reactant and an oxygen reactant, the ratio of the flow rate of the oxygen reactant to that of the fluorocarbon reactant being no greater than 1.5. The etch rate of the silicon nitride can be at least 5 times higher than that of the oxide. Using a combination of CH3F and O2 with optional carrier gasses such as Ar and/or N2, it is possible to obtain nitride:oxide etch rate selectivities of over 40:1. The process is useful for simultaneously removing silicon nitride in 0.25 micron and smaller contact or via openings and wide trenches in forming structures such as damascene and self-aligned structures.
    Type: Grant
    Filed: March 30, 2001
    Date of Patent: November 8, 2005
    Assignee: Lam Research Corporation
    Inventors: Helen H. Zhu, David R. Pirkle, S. M. Reza Sadjadi, Andrew S. Li
  • Patent number: 6960887
    Abstract: A plasma reactor or vacuum processing apparatus is provided with an orifice plate assembly. The orifice plate assembly includes an upper plate and a lower plate. Each plate is configured with through holes. The upper and lower orifice plates are independently rotatable with respect to each other. The plates are arranged within the vacuum chamber a discharge reactor such that the chuck assembly is disposed within an opening in the orifice plate assembly. The orifice plate assembly is further configured to have a perimeter shape that substantially matches the interior wall shape of vacuum chamber.
    Type: Grant
    Filed: February 7, 2003
    Date of Patent: November 1, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Eric J. Strang, Wayne L. Johnson, Robert G. Hostetler, Steven T. Fink
  • Patent number: 6960535
    Abstract: An etching process yields an optimized formation of via holes through the combination of semiconductor material selection and etchant parameters. Over an interlayer dielectric layer is formed a stop layer having a SiON layer over which is a SiC layer. Selective etching will attack the SiC layer while leaving the SiON layer undisturbed. When etching the via hole, a proportion of about 7:90 O2:CO was observed to yield a superior etch.
    Type: Grant
    Filed: May 14, 2004
    Date of Patent: November 1, 2005
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Masayuki Sato
  • Patent number: 6958297
    Abstract: A patterned organic masking layer is formed outwardly of a feature layer to be etched. It has at least one feature pattern having a minimum feature dimension of less than or equal to 0.3 micron. The feature pattern is plasma etched into the feature layer using the masking layer as a mask. The plasma etching comprises at least one etching segment using an etching gas comprising one gas compound comprising carbon, hydrogen and at least one halogen under conditions effective to produce at least that portion of the one feature pattern in the feature layer to have a sidewall taper, if any, of less than or equal to 5° and an organic masking layer top outer surface roughness proximate the feature pattern at a conclusion of the etching segment which is characterizable by an average value less than 100 Angstroms. Other implementations are also contemplated.
    Type: Grant
    Filed: May 23, 2003
    Date of Patent: October 25, 2005
    Assignee: Micron Technology, Inc.
    Inventors: David S. Becker, Bradley J. Howard, Kevin G. Donohoe
  • Patent number: 6951827
    Abstract: By exposing precursor molecules traveling in a molecular beam to a narrow bandwidth laser beam (hu) tuned to a vibrational resonance frequency of the molecules and aimed orthogonal to the molecular beam (FIG. 6A), only those molecules having velocity (va) along trajectory (A) orthogonal to the laser beam are excited, becoming several orders of magnitude more reactive, affording a high degree of control over precise locations of reactions of molecules. Controlling a reaction on a surface of a solid substrate, includes; (a) obtaining a precursor molecule that includes (or can be reacted to form) species to be reacted with the substrate; (b) creating a molecular beam (eg., supersonic) that includes the precursor molecule; (c) vibrationally exciting the molecule with the laser beam tuned to a vibrational resonance frequency of the molecule; and (d) causing the exciting molecule to impinge on the substrate, enabling reactions (deposition, etching . . . ) of the species with the substrate.
    Type: Grant
    Filed: March 15, 2001
    Date of Patent: October 4, 2005
    Assignee: Tufts University
    Inventors: Arthur L. Utz, Ludo B. F. Juurlink
  • Patent number: 6951823
    Abstract: A substantially oxygen-free and nitrogen-free plasma ashing process for removing photoresist in the presence of a low k material from a semiconductor substrate includes forming reactive species by exposing a plasma gas composition to an energy source to form plasma. The plasma gas composition is substantially free from oxygen-bearing and nitrogen-bearing gases. The plasma selectively removes the photoresist from the underlying substrate containing low k material by exposing the photoresist to substantially oxygen and nitrogen free reactive species. The process can be used with carbon containing low k dielectric materials.
    Type: Grant
    Filed: August 11, 2003
    Date of Patent: October 4, 2005
    Assignee: Axcelis Technologies, Inc.
    Inventors: Carlo Waldfried, Orlando Escorcia, Qingyuan Han, Thomas Buckley, Palani Sakthivel
  • Patent number: 6949446
    Abstract: Provided is a technique for fabrication of STIs in a semiconductor device using implantation of damaging high-energy ions to insulating material overburden to generally and/or selectively increase insulation overburden removal rates. This technique avoids the use of chemical mechanical planarization (CMP) with a combination of implantation and, in some instances, low cost batch etching. The electrical characteristics of devices created with the new technique match closely to those fabricated with the standard CMP-based technique.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: September 27, 2005
    Assignee: LSI Logic Corporation
    Inventors: Arvind Kamath, Venkatesh P. Gopinth
  • Patent number: 6949203
    Abstract: An integrated in situ etch process performed in a multichamber substrate processing system having first and second etching chambers. In one embodiment the first chamber includes an interior surface that has been roughened to at least 100 Ra and the second chamber includes an interior surface that has a roughness of less than about 32 Ra. The process includes transferring a substrate having formed thereon in a downward direction a patterned photoresist mask, a dielectric layer, a barrier layer and a feature in the substrate to be contacted into the first chamber where the dielectric layer is etched in a process that encourages polymer formation over the roughened interior surface of the chamber. The substrate is then transferred from the first chamber to the second chamber under vacuum conditions and, in the second chamber, is exposed to a reactive plasma such as oxygen to strip away the photoresist mask deposited over the substrate.
    Type: Grant
    Filed: March 3, 2003
    Date of Patent: September 27, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Chang-Lin Hsieh, Diana Xiaobing Ma, Brian Sy Yuan Shieh, Gerald Zheyao Yin, Jennifer Sun, Senh Thach, Lee Luo, Claes H. Bjorkman
  • Patent number: 6949460
    Abstract: A method for etching a trench to a trench depth in a dielectric layer over a substrate is provided. An ARC is applied over the dielectric layer. A photoresist mask is formed on the ARC, where the photoresist mask has a thickness. The ARC is etched through. A trench is etched into the dielectric layer with a dielectric to photoresist etch selectivity between 1:1 and 2:1.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: September 27, 2005
    Assignee: Lam Research Corporation
    Inventors: Eric Wagganer, Helen H. Zhu, Daniel Le, Peter Loewenhardt
  • Patent number: 6946399
    Abstract: A semiconductor deposition system in accordance with the present invention includes a CMP apparatus operative to planarize an active surface of a semiconductor wafer, and a wafer cleaner for cleaning wafer after the CMP process. The wafer cleaner preferably includes a wafer rotating mechanism, a steam inlet for applying steam to the active surface of the wafer as it is rotated and a liquid inlet for simultaneously applying a liquid to the back side surface of the wafer. A method for manufacturing an integrated circuit in accordance with the present invention includes subjecting an active surface of the wafer to a plurality of processes selected from a group including deposition, patterning, doping, planarization, ashing and etching, and steam cleaning the active surface at least once before, during, and after the plurality of processes. Preferably, an aqueous vapor phase is applied to the first surface of the wafer as an aqueous liquid phase is applied to the other surface of the wafer.
    Type: Grant
    Filed: May 27, 2003
    Date of Patent: September 20, 2005
    Inventor: D'Arcy Harold Lorimer
  • Patent number: 6939811
    Abstract: An apparatus and method for etching a feature in a wafer with improved depth control and reproducibility is described. The feature is etched at a first etching rate and then at a second etching rate, which is slower than the first etching rate. An optical end point device is used to determine the etching depth and etching is stopped so that the feature has the desired depth. Two different etching rates provides high throughput with good depth control and reproducibility. The apparatus includes an etching tool in which a chuck holds the wafer to be etched. An optical end point device is positioned to measure the feature etch depth. An electronic controller communicates with the optical end point device and the etching tool to control the tool to reduce the etch rate part way through etching the feature and to stop the etching tool, so that that the feature is etched to the desired depth.
    Type: Grant
    Filed: September 25, 2002
    Date of Patent: September 6, 2005
    Assignee: Lam Research Corporation
    Inventors: Tom A. Kamp, Alan J. Miller, Vijayakumar C. Venugopal
  • Patent number: 6933242
    Abstract: A substrate whose elemental constituents are selected from Groups III and V of the Periodic Table, is provided with pre-defined masked regions. Etching of the substrate comprising the steps of: a) forming a gas containing molecules having at least one methyl group (CH3) linked to nitrogen into a plasma; and b) etching the unmasked regions of the substrate by means of the plasma. For a substrate whose elemental constituents are selected from Groups II and VI of the Periodic Table, the plasma etching gas used is trimethylamine. Since the methyl compound of nitrogen has a lower bond energy than for hydrocarbon mixtures, free methyl radicals are easier to obtain and the gas is more efficient as a methyl source. In addition, compared with hydrocarbon mixtures, reduced polymer formation can be expected due to preferential formation of methyl radicals over polymer-generating hydrocarbon radicals because of the lower bond energy for the former.
    Type: Grant
    Filed: June 21, 2000
    Date of Patent: August 23, 2005
    Assignee: Surface Technology Systems PLC
    Inventors: Anand Srinivasan, Carl-Fredrik Carlstrom, Gunnar Landgren
  • Patent number: 6933239
    Abstract: A method for removing conductive residue from a layer on a semiconductor substrate by exposing the substrate to a gas comprising a fluorine containing gas and a hydrogen containing gas. In one embodiment, the gas is excited to form a plasma that removes the conductive residue during fabrication of a magneto-resistive random access memory (MRAM) device.
    Type: Grant
    Filed: January 13, 2003
    Date of Patent: August 23, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Chentsau Ying, Xiaoyi Chen, Chun Yan, Ajay Kumar
  • Patent number: 6930049
    Abstract: A method of detecting endpoint of a plasma etching system that measures the DC voltage drop across both the sheath and the film being etched. When the film is nearly removed, a drop in voltage indicates thinning of the film which detects endpoint for etching before optical emission techniques. The voltage drop is measured across resistors within the matching network.
    Type: Grant
    Filed: August 2, 2001
    Date of Patent: August 16, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Jiaming Huang, Ming Yang
  • Patent number: 6927175
    Abstract: A method of fabricating an X-ray detecting device that is capable of preventing breakage of a transparent electrode. In the method, patterning of first and second insulating films occurs at different etching rates, with an etching ratio of the second insulating material to the first insulating material being greater than 1. Accordingly, undercut of the first and second insulating materials can be prevented. This stabilizes the step coverage of a subsequently formed transparent electrode.
    Type: Grant
    Filed: December 26, 2001
    Date of Patent: August 9, 2005
    Assignee: LG. Philips LCD Co., Ltd.
    Inventor: Kyo Ho Moon
  • Patent number: 6927172
    Abstract: Damage to the rim of a semiconductor wafer caused by etching processes is reduced by forming a rim of photoresist or other material around the outer edge of the wafer that has a thickness such that images projected on the rim are sufficiently out of focus that they do not develop, so that etching takes place only in the interior.
    Type: Grant
    Filed: February 24, 2003
    Date of Patent: August 9, 2005
    Assignees: International Business Machines Corporation, Infineon Technologies AG
    Inventors: Wolfgang Bergner, Linda A. Chen, Stephan Kudelka, Franz X. Zach
  • Patent number: 6921723
    Abstract: Conventional methods of semiconductor fabrication and processing typically utilize three gas (e.g., HBr, Cl2 and O2) and four gas (e.g., HBr, Cl2, O2 and CF4) chemistries to perform gate etching in plasma process chambers. However, the silicon to resist selectivity achieved by these chemistries is limited to about 3:1. The present invention concerns a plasma source gas comprising SF6 and one or more fluorine-containing gases selected from C3F6, C4F8, C5F8, CH2F2, CHF3, and C4F6 (e.g., SF6 and C4F8), allowing the use of a two gas etch chemistry that provides enhanced silicon to photoresist selectivity in gate etching processes.
    Type: Grant
    Filed: April 23, 2002
    Date of Patent: July 26, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Yung-Hee Yvette Lee, Shashank Deshmukh
  • Patent number: 6921725
    Abstract: Plasma etching processes using a plasma containing fluorine as well as bromine and/or iodine are suited for high aspect ratio etching of trenches, contact holes or other apertures in silicon oxide materials. The plasma is produced using at least one fluorine-containing source gas and at least one bromine- or iodine-containing source gas. Bromine/iodine components of the plasma protect the aperture sidewalls from lateral attack by free fluorine, thus advantageously reducing a tendency for bowing of the sidewalls. Ion bombardment suppresses absorption of bromine/iodine components on the etch front, thus facilitating advancement of the etch front without significantly impacting taper.
    Type: Grant
    Filed: June 28, 2001
    Date of Patent: July 26, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Kevin G. Donohoe
  • Patent number: 6921705
    Abstract: A method for forming an isolation layer of a semiconductor device. The method includes: a) sequentially laminating a pad oxide layer and pad nitride layer on a semiconductor substrate; b) selectively removing the pad nitride layer, selectively removing the pad oxide layer and the substrate, thereby forming a trench in the substrate; c) implanting ions in a direction with a tilted angle into a side wall of the pad nitride layer located in an upper side of the trench; d) removing the side wall portion of the pad nitride layer in the trench, in which the ions are implanted, to form a sloped side wall of the pad nitride layer, wherein the sloped side wall is inclined in an inverse direction; e) filling a HDP oxid layer in an upper surface of an entire structure including the trench; f) planarizing the HDP oxide layer and the pad nitride layer; and g) removing a remaining pad nitride layer, thereby forming an isolation layer.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: July 26, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventors: Myung Gyu Choi, Hyung Sik Kim
  • Patent number: 6921724
    Abstract: An etch processor for etching a wafer includes a chuck for holding the wafer and a temperature sensor reporting a temperature of the wafer. The chuck includes a heater controlled by a temperature control system. The temperature sensor is operatively coupled to the temperature control system to maintain the temperature of the chuck at a selectable setpoint temperature. A first setpoint temperature and a second setpoint temperature are selected. The wafer is placed on the chuck and set to the first setpoint temperature. The wafer is then processed for a first period of time at the first setpoint temperature and for a second period of time at the second setpoint temperature.
    Type: Grant
    Filed: September 4, 2002
    Date of Patent: July 26, 2005
    Assignee: Lam Research Corporation
    Inventors: Tom A. Kamp, Richard Gottscho, Steve Lee, Chris Lee, Yoko Yamaguchi, Vahid Vahedi, Aaron Eppler
  • Patent number: 6919278
    Abstract: A system and method for achieving a silicon carbide to low-k dielectric etch selectivity ratio of greater than 1:1 using a chlorine containing gas and either hydrogen (H2) gas or nitrogen (N2) gas is described. The method is applied to a semiconductor substrate having a low-k dielectric layer and a silicon carbide layer. The chlorine containing gas is a gas mixture that includes either HCl, BCl3, Cl2, or any combination thereof. In one embodiment, the method provides for supplying an etchant gas comprising a chlorine containing gas and a hydrogen (H2) gas. The etchant gas is then energized to generate a plasma which then etches openings in the silicon carbide at a faster etch rate than the low-k dielectric etch rate. In an alternative embodiment, the etchant gas mixture comprises a chlorine containing gas and a nitrogen (N2) gas.
    Type: Grant
    Filed: July 19, 2002
    Date of Patent: July 19, 2005
    Assignee: Lam Research Corporation
    Inventors: Sean S. Kang, Si Yi Li, S. M. Reza Sadjadi
  • Patent number: 6919279
    Abstract: A method and system are provided for endpoint detection of plasma chamber cleaning or plasma etch processes. Optical emission spectroscopy is utilized to determine a spectral emission ratio of two or more light emitting reaction components at wavelengths in close proximity. When a spectral emission ratio or derivative thereof or mathematical function thereof falls below a selected threshold value, the plasma process may be terminated within a calculated time from the threshold value prior to an endpoint value cutoff. Advantageously, the system and methods of the present invention provide real-time, in-situ monitoring of plasma clean or etch processes to optimize the process and avoid under-cleaning or over-cleaning.
    Type: Grant
    Filed: October 8, 2002
    Date of Patent: July 19, 2005
    Assignee: Novellus Systems, Inc.
    Inventors: Ron Rulkens, Didier Florin
  • Patent number: 6916748
    Abstract: A method of forming emitter tips on a field emission display. A conductive layer is formed on a substrate, and then a photoresist layer is formed on the conductive layer wherein the photoresist layer has at least a pattern for defining predetermined areas of the emitter tips. Next, using plasma etching with the pattern of the photoresist layer as a mask, the conductive layer is etched to become a plurality of emitter stages. The etching rate of the conductive layer is greater than the etching rate of the photoresist layer. Finally, continuous use of plasma etching with an increased vertical-etching rate etches the lateral sidewalls of the emitter stages, thus shaping them as emitter tips.
    Type: Grant
    Filed: November 22, 2002
    Date of Patent: July 12, 2005
    Assignee: Nanya Technology Corporation
    Inventor: Yung-Meng Huang
  • Patent number: 6916746
    Abstract: A method for etching a layer over a substrate is provided. A gas-modulated cyclic process is performed for more than three cycles. Each cycle comprises performing a protective layer forming phase using first gas chemistry with a deposition gas chemistry, which is performed in about 0.0055 to 7 seconds for each cycle and performing an etching phase for the feature through the etch mask using a second gas chemistry using a reactive etching gas chemistry, which is performed in about 0.005 to 14 seconds for each cycle. The protective layer forming phase comprises providing the deposition gas and forming a plasma from the deposition gas. Each etching phase comprises providing a reactive etching gas and forming a plasma from the reactive etching gas.
    Type: Grant
    Filed: April 9, 2003
    Date of Patent: July 12, 2005
    Assignee: Lam Research Corporation
    Inventors: Eric A. Hudson, James V. Tietz