Utilizing Multilayered Mask Patents (Class 438/717)
  • Patent number: 7387969
    Abstract: A patterned hardmask and method for forming the same, the method including providing a substrate comprising an overlying resist sensitive to activating radiation; forming an overlying hardmask insensitive to the activating radiation; exposing the resist through the hardmask to the activating radiation; baking the resist and the hardmask; and, developing the hardmask and resist to form a patterned resist and patterned hardmask.
    Type: Grant
    Filed: March 18, 2005
    Date of Patent: June 17, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: George Liu, Vencent Chang, Norman Chen, Yao-Ching Ku, Chin-Hsiang Lin, Kuei Shun Chen
  • Patent number: 7387967
    Abstract: A method of manufacturing a dot pattern includes the steps of preparing a structured material composed of a plurality of columnar members containing a first component and a region containing a second component different from the first component surrounding the columnar members, with the structured material being formed by depositing the first component and the second component on a substrate, and removing the columnar members from the structured material to form a porous material having a columnar hole. Additional steps include introducing a mask material into the columnar hole of the porous material to form a dot pattern, and removing the porous material.
    Type: Grant
    Filed: December 12, 2003
    Date of Patent: June 17, 2008
    Assignee: Canon Kabushiki Kaisha
    Inventors: Miki Ogawa, Hirokatsu Miyata, Albrecht Otto, Akira Kuriyama, Hiroshi Okura, Tohru Den, Kazuhiko Fukutani
  • Patent number: 7384874
    Abstract: A method of forming a hardmask pattern over a semiconductor device semiconductor device includes forming a first hardmask layer over a semiconductor substrate. First and second structures are formed over the first hardmask layer, the first and second structures formed of the same material, the first and second structures defining a first pitch. First and second overcoats are formed over the first and second structures, respectively, the first and second overcoats being conformal to the first and second structures, respectively. The first and second overcoats define a space therebetween and are configured to expose an underlying layer. A filling layer is formed to fill the space defined between the first and second overcoats. The first and second overcoats are removed to provide the first structure, the second structure, and a third structure provided between the first and second structures, the first and third structures defining a second pitch, the second and third structures defining a third pitch.
    Type: Grant
    Filed: December 30, 2006
    Date of Patent: June 10, 2008
    Assignee: Hynix Semiconductor
    Inventor: Woo Yung Jung
  • Patent number: 7381652
    Abstract: A method of manufacturing a flash memory device which an etch-prevention layer, first and second interlayer insulating layers, and first, second and third hard mask layers are sequentially formed on a semiconductor substrate. The third hard mask layer is etched to expose a portion of a region on the second hard mask layer. A photoresist pattern of a line shape is formed on the entire surface such that the photoresist pattern is exposed to be narrower than the region through which the second hard mask layer is exposed. The second hard mask layer is etched using the photoresist pattern as a mask. The first hard mask layer is etched using the photoresist pattern as a mask, and the second and first interlayer insulating layers are then etched using the remaining third and second hard mask layers as masks, thus forming a drain contact hole having a square shape.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: June 3, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sung Hoon Lee
  • Patent number: 7371690
    Abstract: A condition without using Ar as plasma gas is applied to processing of an organic anti-reflection-coating, which suppresses a spatter effect and decreases the cleavage of C—H and OC—O bonds in a resist. As a result, roughness of the resist after processing the anti-reflection-coating can be suppressed, and pitting and striations after processing a next film to be processed, that is an insulating film, can be prevented. For a rare gas to be used at the time of processing the insulating film, any one of Xe, Kr, a mixed gas of Ar and Xe, and a mixed gas of Ar and Kr is applied in place of Ar, giving rise to reduction in pitting and striations after etching. In addition, a dry etching method with less critical-dimension shift and excellence in both apparatus cost and throughput can be provided by performing resist modification and etching by turns.
    Type: Grant
    Filed: August 25, 2004
    Date of Patent: May 13, 2008
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Nobuyuki Negishi, Masaru Izawa, Kenetsu Yokogawa
  • Patent number: 7372616
    Abstract: Various embodiments of the invention are directed to various microdevices including sensors, actuators, valves, scanning mirrors, accelerometers, switches, and the like. In some embodiments the devices are formed via electrochemical fabrication (EFAB™).
    Type: Grant
    Filed: May 27, 2005
    Date of Patent: May 13, 2008
    Assignee: Microfabrica, Inc.
    Inventors: Christopher A. Bang, Adam L. Cohen, Michael S. Lockard, John D. Evans
  • Patent number: 7368390
    Abstract: A carbon hard mask layer is applied to a substrate to be patterned by means of a plasma-enhanced deposition process in such a manner that it has a hardness comparable to that of diamond in at least one layer thickness section. During the production of this diamond-like layer thickness section, the parameters used in the deposition are set in such a manner that growth regions which are produced in a form other than diamond-like are removed again in situ by means of subsequent etching processes and that diamond-like regions which are formed are retained.
    Type: Grant
    Filed: October 29, 2002
    Date of Patent: May 6, 2008
    Assignee: Infineon Technologies AG
    Inventors: Guenther Czech, Carsten Fuelber, Markus Kirchhoff, Maik Stegemann, Mirko Vogt, Stephan Wege
  • Patent number: 7365018
    Abstract: A non-volatile memory device having memory elements with a channel length of, e.g., 45-55 nm or less, is fabricated using existing lithographic techniques. In one approach, patterns of first and second photomasks are transferred to the same photoresist layer. The first photomask can have openings with a given feature size F that are spaced apart by the feature size F, for instance. The second photomask has an opening which is sized to create a desired inter-select gate gap, such as 3 F or 5 F. A third photomask is used to provide protective portions in a second photoresist layer over the select gate structures. The final structure has memory elements of width F spaced apart by a distance F, and select gates of width 3 F spaced apart by 3 F or 5 F. In another approach, the patterns of three photomasks are transferred to respective photoresist layers to create an analogous final structure.
    Type: Grant
    Filed: December 28, 2005
    Date of Patent: April 29, 2008
    Assignee: Sandisk Corporation
    Inventors: Masaaki Higashitani, Tuan Pham, Masayuki Ichige, Koji Hashimoto, Satoshi Tanaka, Kikuko Sugimae
  • Patent number: 7361609
    Abstract: Methods of forming an integrated circuit device may include forming a resist pattern on a layer of an integrated circuit device with portions of the layer being exposed through openings of the resist pattern. An organic-inorganic hybrid siloxane network film may be formed on the resist pattern. Portions of the layer exposed through the resist pattern and the organic-inorganic hybrid siloxane network film may then be removed. Related structures are also discussed.
    Type: Grant
    Filed: September 22, 2005
    Date of Patent: April 22, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-hwan Hah, Hyun-woo Kim, Mitsuhiro Hata, Sang-gyun Woo
  • Patent number: 7361606
    Abstract: A method of forming a metal line is provided. A first metal layer and a second metal layer protecting the first metal layer are formed on a base substrate. The first metal layer includes aluminum or aluminum alloy. A photoresist pattern having a linear shape is formed on the second metal layer. The first and second metal layers are dry-etched using etching gas and the photoresist pattern as an etching mask. An etching material is removed from the base substrate, to prevent corrosion of the dry-etched first metal layer. Therefore, the source metal pattern without corrosion may be formed through a dry-etching process so that a manufacturing cost is decreased.
    Type: Grant
    Filed: November 29, 2006
    Date of Patent: April 22, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang Gab Kim, Shi Yul Kim, Min Seok Oh, Hong Kee Chin
  • Patent number: 7351666
    Abstract: An integrated circuit and method for fabrication includes first and second structures, each including a set of sub-lithographic lines, and contact landing segments connected to at least one of the sub-lithographic lines at an end portion. The first and second structures are nested such that the sub-lithographic lines are disposed in a parallel manner within a width, and the contact landing segments of the first structure are disposed on an opposite side of a length of the sub-lithographic lines relative to the contact landing segments of the second structure. The contact landing segments for the first and second structures are included within the width dimension, wherein the width includes a dimension four times a minimum feature size achievable by lithography.
    Type: Grant
    Filed: March 17, 2006
    Date of Patent: April 1, 2008
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Mark Charles Hakey, Steven J. Holmes, David V. Horak, Charles William Koburger, III, Chung Hon Lam
  • Patent number: 7348279
    Abstract: In order to form a contact in a layer on a substrate, in particular a contact in a logic circuit in a semiconductor component, the mask layer is structured for etching of the contact holes with a photoresist layer which is exposed using two masks, with the first mask containing a regular pattern of contact structures with a period which corresponds to the order of magnitude of twice the edge length of the contact hole, and with the second mask containing a pattern with a structure which surrounds at least the contact hole area, and thus covers it.
    Type: Grant
    Filed: November 15, 2005
    Date of Patent: March 25, 2008
    Assignee: Infineon Technologies AG
    Inventors: Uwe Paul Schröder, Jochen Schacht
  • Patent number: 7344991
    Abstract: A method for etching an organic anti-reflective coating (ARC) layer on a substrate in a plasma processing system comprising: introducing a process gas comprising ammonia (NH3), and a passivation gas; forming a plasma from the process gas; and exposing the substrate to the plasma. The process gas can, for example, constitute NH3 and a hydrocarbon gas such as at least one of C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, and C6H12. Additionally, the process chemistry can further comprise the addition of helium. The present invention further presents a method for forming a bilayer mask for etching a thin film on a substrate, wherein the method comprises: forming the thin film on the substrate; forming an ARC layer on the thin film; forming a photoresist pattern on the ARC layer; and transferring the photoresist pattern to the ARC layer with an etch process using a process gas comprising ammonia (NH3), and a passivation gas.
    Type: Grant
    Filed: August 14, 2003
    Date of Patent: March 18, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Vaidyanathan Balasubramaniam, Koichiro Inazawa, Rich Wise, Arpan Mahorowala, Siddhartha Panda
  • Patent number: 7344995
    Abstract: The present invention discloses a method for preparing a structure with high aspect ratio, which can be a trench or a conductor. A first mask is formed on a substrate, and a first etching process is performed to remove the substrate uncovered by the first mask to form at least one concavity. A second mask is formed on the surface of the prepared structure, a second etching process is then performed to remove the second mask on the concavity, and a third etching process is performed subsequently to extend the depth of the concavity into the substrate. To prepare a conductor with high aspect ratio in the substrate, the first mask and the second mask are preferably made of dielectric material or metal. In addition, to prepare a trench with high aspect ratio in a silicon substrate, the first mask and the second mask are preferably made of dielectric material.
    Type: Grant
    Filed: March 14, 2005
    Date of Patent: March 18, 2008
    Assignee: Promos Technologies, Inc.
    Inventors: Hung Yueh Lu, Hong Long Chang, Yung Kai Lee, Chih Hao Chang
  • Patent number: 7341956
    Abstract: A method includes forming a group of disposable hard mask structures on a semiconductor device that includes a group of memory cells. The method further includes using the disposable hard mask structures to precisely control a junction profile of the memory cells.
    Type: Grant
    Filed: April 7, 2005
    Date of Patent: March 11, 2008
    Assignees: Spansion LLC, Advanced Micro Devices, Inc.
    Inventors: Hirokazu Tokuno, Minh-Van Ngo, Angela T. Hui, Cinti Xiaohua Chen
  • Patent number: 7341955
    Abstract: A method for fabricating a semiconductor device is provided. The method includes: forming an insulation layer over a substrate; forming a hard mask layer over the insulation layer; forming a photoresist pattern over the hard mask layer; forming a polymer over the photoresist pattern to increase a thickness of the photoresist pattern; patterning the hard mask layer by using the photoresist pattern having the increased thickness; and selectively removing the insulation layer by using the photoresist pattern having the increased thickness and the hard mask layer as an etch mask to form a contact hole.
    Type: Grant
    Filed: February 17, 2006
    Date of Patent: March 11, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Ki-Won Nam
  • Patent number: 7341957
    Abstract: A masking structure having multiple layers is formed. The masking structure includes an amorphous carbon layer and a cap layer formed over the amorphous carbon layer. The amorphous carbon layer includes transparent amorphous carbon. The cap layer includes non-oxide materials. The masking structure may be used as a mask in an etching process during fabrication of semiconductor devices.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: March 11, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Zhiping Yin, Weimin Li
  • Publication number: 20080057705
    Abstract: By forming a conductive material within an etch mask for an anisotropic etch process for patterning openings, such as vias, in a dielectric layer of a metallization structure, the probability for arcing events may be reduced, since excess charge may be laterally distributed. For example, an additional sacrificial conductive layer may be formed or an anti-reflecting coating (ARC) may be provided in the form of a conductive material in order to obtain the lateral charge distribution.
    Type: Application
    Filed: April 4, 2007
    Publication date: March 6, 2008
    Inventors: Frank Feustel, Kai Frohberg, Thomas Werner
  • Patent number: 7326648
    Abstract: A semiconductor device includes a substrate having first and second device regions separated from each other by a device isolation region, a first field effect transistor having a first polysilicon gate electrode and formed in the first device region, a second field effect transistor having a second polysilicon gate electrode and formed in the second device region, a polysilicon pattern extending over the device isolation region from the first polysilicon gate electrode to the second polysilicon gate electrode, and a silicide layer formed on a surface of the first polysilicon gate electrode, a surface of said the polysilicon gate electrode and a surface of the polysilicon pattern so as to extend on the polysilicon pattern from the first polysilicon gate electrode to the second polysilicon gate electrode, the silicide layer having a region of increased film thickness on the polysilicon pattern, wherein the silicide layer has a surface protruding upward in the region of increased film thickness.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: February 5, 2008
    Assignee: Fujitsu Limited
    Inventor: Tetsuo Yoshimura
  • Patent number: 7326651
    Abstract: This invention relates to the manufacture of dual damascene interconnect structures in integrated circuit devices. Specifically, a method is disclosed for forming a single or dual damascene structure in a low-k dielectric thin film utilizing a planarizing material and a compressive diffusion barrier material. The barrier material preferably has a compressive stress of greater than 300 MPa. In a preferred dual damascene embodiment of this method, the vias are formed first in the dielectric material, then the planarizing material is deposited in the vias and on the dielectric material, and the barrier material is deposited on the planarizing material. The trenches are then formed lithographically in the imaging material, etched through the barrier material into the planarizing material, and the trench pattern is transferred to the dielectric material. During and following the course of these etch steps, the imaging, barrier and planarizing materials are removed.
    Type: Grant
    Filed: December 14, 2004
    Date of Patent: February 5, 2008
    Assignee: International Business Machines Corporation
    Inventors: Heidi Baks, Richard A. Bruff, Richard A. Conti, Allan Upham
  • Publication number: 20080020583
    Abstract: In a plasma etching method, a substrate, on which an oxide film as a target layer to be etched, a hard mask layer, and a patterned photoresist are sequentially formed, is loaded into the processing chamber and mounted on a lower electrode. A processing gas containing CxFy (x is 3 or less and y is 8 or less), C4F8, a rare gas and O2 is supplied and a plasma of the processing gas is generated by applying a high frequency power to an upper or a lower electrode. Further, a high frequency power for bias is applied to the lower electrode, and a DC voltage is applied to the upper electrode.
    Type: Application
    Filed: July 6, 2007
    Publication date: January 24, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kosei Ueda, Hikoichiro Sasaki
  • Publication number: 20080014755
    Abstract: In a plasma etching method, a plasma of a processing gas containing CxFy (x, y are integers equal to or greater than 1), a rare gas and O2 by applying a high frequency power to the upper or the lower electrode while the processing gas is being supplied into the processing chamber. Further, an oxide film formed on the substrate is etched through a mask layer while applying a high frequency power for bias to the lower electrode. When a certain etching condition is likely to cause a low etching opening characteristic, a DC voltage is applied to the upper electrode, to thereby obtain a fine opening characteristic.
    Type: Application
    Filed: July 3, 2007
    Publication date: January 17, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Nobuhiro WADA, Hikoichiro Sasaki
  • Patent number: 7319074
    Abstract: The present invention provides a method of defining polysilicon patterns. The method forms a polysilicon layer on a substrate, and a patterned mask on the polysilicon layer. Then, a first etching process is performed to remove a portion of the polysilicon layer not covered by the mask, thus forming a plurality of cavities in the polysilicon layer. A strip process is performed to strip the mask utilizing gases excluding O2. Finally, a second etching process is performed to remove a portion of the polysilicon layer, thus extending the plurality of cavities down to a surface of the substrate.
    Type: Grant
    Filed: June 13, 2005
    Date of Patent: January 15, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Pei-Yu Chou, Tong-Yu Chen
  • Patent number: 7314810
    Abstract: A method for forming fine patterns of a semiconductor device includes forming hard mask patterns over an underlying layer. A first organic film is formed over the hard mask patterns. A second organic film is formed over the first organic film. The second organic film is planarized until the first organic film is exposed. An etch-back process is performed on the first organic film until the underlying layer is exposed. The first organic film and the second organic film are etched to form organic mask patterns including the first organic film and the second organic film. Each organic mask pattern is formed between adjacent hard mask patterns. The underlying layer is etched using the hard mask patterns and the organic mask patterns as an etching mask to form an underlying layer pattern.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: January 1, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Chang Jung
  • Publication number: 20070281491
    Abstract: A method for forming features in a polysilicon layer is provided. A hardmask layer is formed over the polysilicon layer. A photoresist mask is formed over the hardmask layer. The hardmask layer is etched through the photoresist mask to form a patterned hardmask. The patterned hardmask is trimmed by providing a non-carbon containing trim gas comprising oxygen and a fluorine containing compound, forming a plasma from the trim gas, and trimming the hardmask. Features are etched into the polysilicon layer through the hardmask.
    Type: Application
    Filed: June 5, 2006
    Publication date: December 6, 2007
    Inventor: Tom A. Kamp
  • Patent number: 7303949
    Abstract: A semiconductor device and method of manufacturing a semiconductor device. The semiconductor device includes channels for a pFET and an nFET. A SiGe layer is selectively grown in the source and drain regions of the pFET channel and a Si:C layer is selectively grown in source and drain regions of the nFET channel. The SiGe and Si:C layer match a lattice network of the underlying Si layer to create a stress component. In one implementation, this causes a compressive component in the pFET channel and a tensile component in the nFET channel.
    Type: Grant
    Filed: October 20, 2003
    Date of Patent: December 4, 2007
    Assignee: International Business Machines Corporation
    Inventors: Huajie Chen, Dureseti Chidambarrao, Omer H Dokumaci
  • Patent number: 7297628
    Abstract: Inwardly-tapered openings are created in an Anti-Reflection Coating layer (ARC layer) provided beneath a patterned photoresist layer. The smaller, bottom width dimensions of the inwardly-tapered openings are used for defining further openings in an interlayer dielectric region (ILD) provided beneath the ARC layer. In one embodiment, the ILD separates an active layers set of an integrated circuit from its first major interconnect layer. Further in one embodiment, a taper-inducing etch recipe is used to create the inwardly-tapered ARC openings, where the etch recipe uses a mixture of CF4 and CHF3 and where the CF4/CHF3 volumetric inflow ratio is substantially less than 5 to 1, and more preferably closer to 1 to 1.
    Type: Grant
    Filed: November 19, 2003
    Date of Patent: November 20, 2007
    Assignee: Promos Technologies, Inc.
    Inventors: Chunyuan Chao, Kuei-Chang Tsai, George A. Kovall
  • Patent number: 7297638
    Abstract: A method of forming patterns in a semiconductor device comprises: forming a conductive film on a substrate; forming an anti-reflective layer on the conductive film; cleaning oxide residues on the anti-reflective layer using a first cleaning solution; cleaning the oxide residues on the anti-reflective layer using a second cleaning solution; forming a photoresist pattern on the anti-reflective layer; and patterning the conductive film using the photoresist pattern.
    Type: Grant
    Filed: February 20, 2004
    Date of Patent: November 20, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ju-Jin An, Soo-Woong Lee
  • Patent number: 7288486
    Abstract: In a method for manufacturing a semiconductor device wherein via holes are formed in an SiC substrate, a stacked film consisting of a Ti film and an Au film is formed on the back face of the SiC substrate, and a Pd film is formed thereon. Then, an Ni film is formed by non-electrolytic plating, using the Pd film as a catalyst. Thereafter, via holes penetrating through the SiC substrate are formed by etching, using the Ni film as a mask.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: October 30, 2007
    Assignee: Mitsubishi Electric Corporation
    Inventors: Takeo Shirahama, Toshihiko Shiga, Kouichirou Hori
  • Patent number: 7282453
    Abstract: A method for fabricating a semiconductor device includes forming fuse lines over a substrate, forming a first insulation layer over the fuse lines, the first insulation layer including a silicon-rich oxynitride (SRON) layer at the top, forming a second insulation layer over the first insulation layer, the second insulation layer configured in a multiple-layer structure and including oxide-based materials, performing a first repair etching process to selectively etch the second insulation layer, performing a second repair etching process to remove the second insulation layer remaining after performing the first repair etching process, and performing a third repair etching process to etch the first insulation layer in a manner such that the first insulation layer remains with a predetermined thickness above the fuse lines.
    Type: Grant
    Filed: June 29, 2006
    Date of Patent: October 16, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Ki-Won Nam
  • Patent number: 7271106
    Abstract: Methods of etching substrates with small critical dimensions and altering the critical dimensions are disclosed. In one embodiment, a sulfur oxide based plasma is used to etch an amorphous carbon hard mask layer. The features of a pattern can be shrunk using a plasma etch to reduce the resist elements on the surface of the masking structure. Features in the pattern can also be enlarged by depositing polymer on the resist elements or by sloping an underlying layer. In one preferred embodiment, features of the pattern are shrunk before being enlarged in order to reduce line edge roughness.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: September 18, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Mirzafer K. Abatchev, David K. Hwang, Robert G. Veltrop
  • Patent number: 7271108
    Abstract: A method for forming etch features in an etch layer over a substrate is provided. An etch mask stack is formed over the etch layer. A first mask is formed over the etch mask stack. A sidewall layer is formed over the first mask, which reduces the widths of the spaces defined by the first mask. A first set of features is etched into the etch mask stack through the sidewall layer. The mask and sidewall layer are removed. An additional feature step is performed, comprising forming an additional mask over the etch mask stack, forming a sidewall layer over the additional mask, etching a second set of features at least partially into the etch mask stack. A plurality of features is etched into the etch layer through the first set of features and the second set of features in the etch mask stack.
    Type: Grant
    Filed: June 28, 2005
    Date of Patent: September 18, 2007
    Assignee: Lam Research Corporation
    Inventor: S. M. Reza Sadjadi
  • Patent number: 7271107
    Abstract: A method for forming features in an etch layer is provided. A first mask is formed over the etch layer wherein the first mask defines a plurality of spaces with widths. A sidewall layer is formed over the first mask. Features are etched into the etch layer through the sidewall layer, wherein the features have widths that are smaller than the widths of the spaces defined by the first mask. The mask and sidewall layer are removed. An additional mask is formed over the etch layer wherein the additional mask defines a plurality of spaces with widths. A sidewall layer is formed over the additional mask. Features are etched into the etch layer through the sidewall layer, wherein the widths that are smaller than the widths of the spaces defined by the first mask. The mask and sidewall layer are removed.
    Type: Grant
    Filed: February 3, 2005
    Date of Patent: September 18, 2007
    Assignee: Lam Research Corporation
    Inventors: Jeffrey Marks, S. M. Reza Sadjadi
  • Publication number: 20070212886
    Abstract: Provided herein, according to some embodiments of the invention, are organosilane polymers prepared by reacting organosilane compounds including (a) at least one compound of Formula I Si(OR1)(OR2)(OR3)R4 ??(I) wherein R1, R2 and R3 may each independently be an alkyl group, and R4 may be —(CH2)nR5, wherein R5 may be an aryl or a substituted aryl, and n may be 0 or a positive integer; and (b) at least one compound of Formula II Si(OR6)(OR7)(OR8)R9 ??(II) wherein R6, R7 and R8 may each independently an alkyl group or an aryl group; and R9 may be an alkyl group. Also provided are hardmask compositions including an organosilane compound according to an embodiment of the invention, or a hydrolysis product thereof. Methods of producing semiconductor devices using a hardmask compostion according to an embodiment of the invention, and semiconductor devices produced therefrom, are also provided.
    Type: Application
    Filed: December 14, 2006
    Publication date: September 13, 2007
    Inventors: Dong Seon Uh, Hui Chan Yun, Jin Kuk Lee, Chang Il Oh, Jong Seob Kim, Sang Kyun Kim, Sang Hak Lim, Min Soo Kim, Kyong Ho Yoon, Irina Nam
  • Patent number: 7268085
    Abstract: The present invention relates to a method for forming a storage node contact of a semiconductor device. The method includes the steps of: depositing sequentially a conductive layer, a nitride layer and a polysilicon layer on a substrate having an insulating structure and a conductive structure; etching selectively the polysilicon layer, the nitride layer and the conductive layer to form a plurality of conductive patterns with a stack structure of the conductive layer and a dual hard mask including the polysilicon layer and the nitride layer; forming an insulation layer along a profile containing the conductive patterns; and etching the insulation layer by using a line type photoresist pattern as an etch mask to form a contact hole exposing the conductive structure disposed between the neighboring conductive patterns.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: September 11, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventors: Yu-Chang Kim, Soo-Young Park
  • Publication number: 20070207618
    Abstract: The invention provides a dry etching method for performing a wiring process on a semiconductor substrate using a plasma etching apparatus, wherein the wiring process is performed without causing disconnection or deflection of the wiring. The invention provides a dry etching method for performing a wiring process on a semiconductor substrate using a plasma etching apparatus, wherein during a step for etching a material 12 to be etched using a mask pattern composed of a photoresist 15 and inorganic films 14 and 13 made of SiN, SiON, SiO and the like formed on the material 12 to be etched, a mixed gas formed of a halogen-based gas such as chlorine-containing gas or bromine-containing gas and at least one fluorine-containing gas selected from a group of fluorine-containing gases composed of CF4, CHF3, SF6 and NF3 is used to reduce the mask pattern and the processing dimension of the material to be etched substantially equally during processing of the material 12 to be etched.
    Type: Application
    Filed: August 17, 2006
    Publication date: September 6, 2007
    Inventors: Satoshi Une, Masamichi Sakaguchi, Kenichi Kuwabara, Tomoyoshi Ichimaru
  • Publication number: 20070202697
    Abstract: A method for forming a fine pattern of a semiconductor device comprises the steps of: forming a first hard mask pattern having a width of W1 and a thickness of T1 over an underlying layer formed over a semiconductor substrate; forming a second hard mask film with a planar type over the resulting structure and planarizing the second hard masks to expose the first hard mask pattern; removing the first hard mask pattern by a thickness T2 from the top surface (0<T2<T1); performing a trimming process on the second hard mask film to form a second hard mask pattern having a slope side wall; performing a second trimming process on the second hard mask pattern to separate the second hard mask pattern from the first hard mask pattern and form a third hard mask pattern having a width of W2; and patterning the underlying layer using the first hard mask pattern and the third hard mask pattern as etching masks.
    Type: Application
    Filed: February 8, 2007
    Publication date: August 30, 2007
    Applicant: Hynix Semiconductor Inc.
    Inventor: Jae Chang Jung
  • Patent number: 7262138
    Abstract: Systems and method for adjusting an etch rate of an organic bottom antireflective coating (BARC) layer on a wafer. The BARC layer can be exposed to an energy source at varied intensities to determine a relationship between bake temperature and solubility of the BARC after baking, which correlates to a rate at which the BARC can be etched. The BARC can be a cross-linking BARC, which becomes more cross-linked as bake temperature is increased, resulting in decreased etch rate, or can be a cleaving BARC, which is subject to removal of etch-resistant monomers as bake temperature is increased, resulting in increased etch rate. Thus, the invention provides for adjustable BARC etch rates that can be aligned to an etch rate of a photoresist deposited over the BARC to permit concurrent etching of both layers while mitigating structural defects that can occur if etch rates of the respective layers differ.
    Type: Grant
    Filed: October 1, 2004
    Date of Patent: August 28, 2007
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bhanwar Singh, Ramkumar Subramanian, Gilles Amblard
  • Publication number: 20070197041
    Abstract: A processing method includes a silicon oxide etching process of performing a plasma etching on a target layer mainly made up of silicon, a silicon oxide layer formed on the target layer and a target object having a previously patterned resist layer formed on the silicon oxide layer, the plasma etching of the silicon oxide layer being performed by using the resist layer as a mask; a deposits removing process of removing deposits generated in the silicon oxide etching process and stuck to the target object; and a silicon etching process of performing a plasma etching on the target layer by a plasma generated from a processing gas containing SF6, O2 and SiF4 while using the silicon oxide layer as a mask.
    Type: Application
    Filed: February 14, 2007
    Publication date: August 23, 2007
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Michiko NAKAYA
  • Patent number: 7253118
    Abstract: Differently-sized features of an integrated circuit are formed by etching a substrate using a mask which is formed by combining two separately formed patterns. Pitch multiplication is used to form the relatively small features of the first pattern and conventional photolithography used to form the relatively large features of the second pattern. Pitch multiplication is accomplished by patterning a photoresist and then etching that pattern into an amorphous carbon layer. Sidewall spacers are then formed on the sidewalls of the amorphous carbon. The amorphous carbon is removed, leaving behind the sidewall spacers, which define the first mask pattern. A bottom anti-reflective coating (BARC) is then deposited around the spacers to form a planar surface and a photoresist layer is formed over the BARC. The photoresist is next patterned by conventional photolithography to form the second pattern, which is then is transferred to the BARC.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: August 7, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Luan Tran, William T. Rericha, John Lee, Raman Alapati, Sheron Honarkhah, Shuang Meng, Puneet Sharma, Jingyi (Jenny) Bai, Zhiping Yin, Paul Morgan, Mirzafer K. Abatchev, Gurtej S. Sandhu, D. Mark Durcan
  • Patent number: 7250319
    Abstract: A method of fabricating quantum features on a substrate from a layer of material selected from materials identified in the III-V periodic groups (e.g., silicon (Si), InP, Si—Ge, and the like) uses sequentially two patterned masks, each mask includes an elongated mask pattern disposed substantially orthogonal to the elongated pattern of the other mask. In one embodiment, the method forms on a semiconductor wafer a plurality of quantum dots having topographic dimensions of about 30 nm or less. In another embodiment, the invention may be halted after a first etch process to form quantum lines.
    Type: Grant
    Filed: April 16, 2004
    Date of Patent: July 31, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Wei Liu, Lawrence West
  • Publication number: 20070148983
    Abstract: Disclosed herein is a method for manufacturing a semiconductor device that includes performing an O2 plasma treatment step after forming a Si-containing photoresist film.
    Type: Application
    Filed: August 29, 2006
    Publication date: June 28, 2007
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Sung Koo Lee, Jae Chang Jung
  • Patent number: 7223703
    Abstract: In forming a mask pattern on a circuit board, a mask pattern of N-layer structure is formed in a region where the mechanical strength of the circuit board needs to be increased. N photosensitive layers are first stacked on a substrate so that they becomes lower in sensitivity from the first photosensitive layer toward the Nth photosensitive layer. In the first photosensitive layer (bottom layer), a first pattern is formed and has the same shape as a predetermined pattern to be formed on the circuit board. In the Kth photosensitive layer (N?K?2), a Kth pattern is formed so that the Kth pattern is smaller than a (K?1)st pattern formed in the (K?1)st photosensitive layer and arranged inside the (K?1)st pattern.
    Type: Grant
    Filed: January 13, 2005
    Date of Patent: May 29, 2007
    Assignee: Fujifilm Corporation
    Inventor: Yoshiharu Sasaki
  • Patent number: 7214626
    Abstract: The present invention provides an etching process for decreasing mask defect. The process comprises providing a substrate, and sequentially forming a thin film layer, a mask, and a photoresist on the surface of the substrate. Then the photoresist is trimmed by a bromide compound, and a first etching process is performed to transfer patterns from the photoresist to the mask. A strip process is performed to strip photoresist by mixing gases that include fluorine. Finally, a second etching process is performed to transfer the pattern from patterned mask to the thin film layer.
    Type: Grant
    Filed: August 24, 2005
    Date of Patent: May 8, 2007
    Assignee: United Microelectronics Corp.
    Inventor: Kao-Su Huang
  • Patent number: 7208423
    Abstract: A resist pattern (5) is formed in a dimension of a limitation of an exposure resolution over a hard mask material film (4) over a work film (3). The material film (4) is processed using the resist pattern (5) as a mask. A hard mask pattern (6) is thereby formed. Thereby a resist pattern (7), over a non-selected region (6b), having an opening (7a) through which a selection region (6a) in the mask pattern is exposed is formed. Only the mask pattern (6a) exposed through the opening (7a) is slimmed by performing a selection etching, the work film (3) is etched by using the mask pattern (6). A work film pattern (8) is thereby formed, which include a wide pattern section (8a) of a dimension width of the limitation of the exposure resolution and a slimmed pattern section (8a) of a dimension that is not more than the limitation of the exposure resolution.
    Type: Grant
    Filed: March 28, 2002
    Date of Patent: April 24, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Koji Hashimoto, Soichi Inoue, Kazuhiro Takahata, Kei Yoshikawa
  • Patent number: 7195927
    Abstract: An exemplary method for making a memory structure having different-sized memory cell layers comprises forming at least two layers of ferromagnetic materials, forming at least one mask layer above the ferromagnetic materials, patterning the at least one mask layer, etching the ferromagnetic materials using the at least one mask layer as a first etch transfer mask, laterally reducing a planar dimension of the at least one mask layer to be narrower than the ferromagnetic materials, and etching a layer of the ferromagnetic materials using the reduced at least one mask layer as a second etch transfer mask, such that the ferromagnetic layer being etched becomes a different lateral size than another ferromagnetic layer of the ferromagnetic materials.
    Type: Grant
    Filed: October 22, 2003
    Date of Patent: March 27, 2007
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Manish Sharma, Thomas C. Anthony
  • Patent number: 7195716
    Abstract: An etching process is described. A material layer having a bottom anti-reflection coating (BARC) and a patterned photoresist layer thereon is provided. An etching step is performed to the BARC using the patterned photoresist layer as a mask. A cleaning step is performed to remove the polymer formed on the surface of the patterned photoresist layer. Thereafter, another etching step is performed to the material layer using the patterned photoresist layer as a mask.
    Type: Grant
    Filed: October 8, 2004
    Date of Patent: March 27, 2007
    Assignee: United Microelectronics Corp.
    Inventor: Pei-Yu Chou
  • Patent number: 7196003
    Abstract: A four-layer structured hard mask composed of a SiC film, a first SiO2 film, a SiC film, and a second SiO2 film is formed on a porous silica film as an interlayer insulating film. Then, the second SiO2 film is etched with a resist mask. Subsequently, the SiC film is etched with the second SiO2 film. Thereafter, the first SiO2 film is etched with the SiC film. Subsequently, the SiC film is etched with the SiC film. Then, by etching the porous silica film with the SiC film, a wiring trench is formed. At this time, a selection ratio between the SiC film and the porous silica film is large, so that deformation of the SiC film rarely occurs, which prevents leakage caused by the deformation.
    Type: Grant
    Filed: February 10, 2004
    Date of Patent: March 27, 2007
    Assignee: Fujitsu Limited
    Inventor: Yoshihisa Iba
  • Patent number: 7186649
    Abstract: A method of forming a pattern finer than an existing pattern in a semiconductor device using an existing light source and a hard mask, and a method of removing the hard mask which is used as an etching mask. The method includes forming an oxide layer on a substrate; forming a polysilicon layer on the oxide layer; forming a hard mask on the polysilicon layer; depositing photoresist on the hard mask and patterning the hard mask by using the photoresist; and etching the polysilicon layer using the pattern embodied by the hard mask. By fabricating a gate oxide with a finer linewidth using a hard mask and existing equipment, the present invention can control the linewidth required in each product by using an etching process, and, therefore, has advantages such as expandability of process, extension of generality, and maximization of productivity in the production line.
    Type: Grant
    Filed: December 31, 2003
    Date of Patent: March 6, 2007
    Assignee: Dongbu Electronics Co. Ltd.
    Inventors: Joon Bum Shim, Han Gyoo Hwang, Kang-Hyun Lee
  • Patent number: RE40007
    Abstract: A new method of patterning the polysilicon layer in the manufacture of an integrated circuit device has been achieved. A polysilicon layer is provided overlying a semiconductor substrate. The polysilicon layer may overlie a gate oxide layer and thereby comprise the polysilicon gate for MOS devices. A hard mask layer is provided overlying the polysilicon layer. A resist layer is provided overlying the hard mask layer. The resist layer is patterned to form a resist mask the exposes a part of the hard mask layer. The polysilicon layer is patterned in a plasma dry etching chamber. First, the resist layer is optionally trimmed by etching. Second, the hard mask layer is etched where exposed by the resist mask to form a hard mask that exposes a part of the polysilicon layer. Third, the resist mask is stripped away. Fourth, polymer residue from the resist mask is cleaned away using a chemistry containing CF4 gas. Fifth, the polysilicon layer is etched where exposed by the hard mask.
    Type: Grant
    Filed: August 28, 2003
    Date of Patent: January 22, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Horng-Wen Chen, Chi-How Wu