Utilizing Multilayered Mask Patents (Class 438/717)
  • Patent number: 8133818
    Abstract: In a method of forming a hard mask pattern in a semiconductor device, only processes for forming patterns having a row directional line shape and a column directional line shape on a plane are performed so that the hard mask patterns can be formed to define densely disposed active regions. A pitch of the hard mask patterns is less than a resolution limit of an exposure apparatus.
    Type: Grant
    Filed: June 4, 2008
    Date of Patent: March 13, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Woo Yung Jung
  • Patent number: 8129217
    Abstract: The invention concerns a process for the production of a multi-layer body, wherein the multi-layer body includes at least two functional layers on a top side of a carrier substrate, which are structured in register relationship with each other, by a procedure whereby an underside of the carrier substrate is prepared in such a way that in a first region there results a transparency for a first exposure radiation and in at least one second region there results a transparency for at least one second exposure radiation different therefrom in register relationship with the first region, the underside is successively exposed with the first and the at least one second exposure radiation and the first exposure radiation is used for structuring a first functional layer and the at least one second exposure radiation is used for structuring at least one second functional layer on the top side of the carrier substrate.
    Type: Grant
    Filed: August 3, 2007
    Date of Patent: March 6, 2012
    Assignee: OVD Kinegram AG
    Inventors: Gernot Schneider, Rene Staub, Wayne Robert Tompkin, Achim Hansen
  • Patent number: 8124540
    Abstract: A method for forming features in a polysilicon layer is provided. A hardmask layer is formed over the polysilicon layer. A photoresist mask is formed over the hardmask layer. The hardmask layer is etched through the photoresist mask to form a patterned hardmask. The patterned hardmask is trimmed by providing a non-carbon containing trim gas comprising oxygen and a fluorine containing compound, forming a plasma from the trim gas, and trimming the hardmask. Features are etched into the polysilicon layer through the hardmask.
    Type: Grant
    Filed: November 9, 2010
    Date of Patent: February 28, 2012
    Assignee: Lam Research Corporation
    Inventor: Tom A. Kamp
  • Patent number: 8123968
    Abstract: Pitch multiplication is performed using a two step process to deposit spacer material on mandrels. The precursors of the first step react minimally with the mandrels, forming a barrier layer against chemical reactions for the deposition process of the second step, which uses precursors more reactive with the mandrels. Where the mandrels are formed of amorphous carbon and the spacer material is silicon oxide, the silicon oxide is first deposited by a plasma enhanced deposition process and then by a thermal chemical vapor deposition process. Oxygen gas and plasma-enhanced tetraethylorthosilicate (TEOS) are used as reactants in the plasma enhanced process, while ozone and TEOS are used as reactants in the thermal chemical vapor deposition process. The oxygen gas is less reactive with the amorphous carbon than ozone, thereby minimizing deformation of the mandrels caused by oxidation of the amorphous carbon.
    Type: Grant
    Filed: March 4, 2008
    Date of Patent: February 28, 2012
    Assignee: Round Rock Research, LLC
    Inventors: Jingyi Bai, Gurtej S Sandhu, Shuang Meng
  • Patent number: 8114778
    Abstract: A method of forming minute patterns in a semiconductor device, and more particularly, a method of forming minute patterns in a semiconductor device having an even number of insert patterns between basic patterns by double patterning including insert patterns between a first basic pattern and a second basic pattern which are transversely separated from each other on a semiconductor substrate, wherein a first insert pattern and a second insert pattern are alternately repeated to form the insert patterns, the method includes the operation of performing a partial etching toward the second insert pattern adjacent to the second basic pattern, or the operation of forming a shielding layer pattern, thereby forming the even number of insert patterns.
    Type: Grant
    Filed: October 15, 2010
    Date of Patent: February 14, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-yong Park, Jae-kwan Park, Yong-sik Yim, Jae-hwang Sim
  • Patent number: 8110506
    Abstract: Methods of forming a semiconductor device can be provided by simultaneously forming a plurality of mask patterns using self-aligned reverse patterning, including respective mask pattern elements having different widths.
    Type: Grant
    Filed: April 23, 2009
    Date of Patent: February 7, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Ho Min, O-Ik Kwon, Bum-Soo Kim, Dong-chan Kim, Myeong-cheol Kim
  • Patent number: 8105950
    Abstract: A method for forming fine patterns in a semiconductor device includes forming a first hard mask layer and a second hard mask layer over an etch target layer, forming second hard mask patterns by etching the second hard mask layer, wherein an etching profile of the second hard mask layer has a positive slope, and etching the first hard mask layer and the etch target layer using the second hard mask patterns as an etch mask.
    Type: Grant
    Filed: December 10, 2007
    Date of Patent: January 31, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung-Yoon Cho, Hye-Ran Kang
  • Patent number: 8093153
    Abstract: An exemplary method of patterning oxide layer and removing residual nitride includes steps of forming a first oxide layer, a nitride layer, a second oxide layer and a complex hard mask on a substrate in turn. The first oxide layer covers an insulating structure. The second oxide layer, the complex hard mask and the nitride layer are etched by utilizing a patterned photoresist as an etching mask, so as to expose the first oxide layer. In addition, the part of the nitride layer covering the insulating structure can be further removed. Accordingly, the present invention can effectively control layout patterns of material layers and doped regions and thereby can improve the performance of a narrow width device.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: January 10, 2012
    Assignee: United Microelectronics Corporation
    Inventor: Ping-Chia Shih
  • Patent number: 8092703
    Abstract: It is an object of the present invention to provide a method of manufacturing a semiconductor device that reduces the deterioration in processed configuration and the pattern roughness of a film to be processed, and is close to the original design and applicable to a dual damascene step and the like. The manufacturing method comprises a processing mask layer forming step of forming a processing mask layer (a lower organic film and a middle layer) comprising at least one film, and hardening treatment for at least one film of the processing mask layer by applying a film and heat hardening treatment; a processing mask layer etching step of applying a resist film for exposure to the processing mask layer, exposing and developing it to form a resist pattern, and etching the processing mask layer using the resist pattern as a mask; and a film to be processed etching step of etching the film to be processed using the pattern of the processing mask layer formed at the processing mask layer etching step as a mask.
    Type: Grant
    Filed: June 12, 2007
    Date of Patent: January 10, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Takeo Ishibashi, Kazumasa Yonekura, Masahiro Tadokoro, Kazunori Yoshikawa, Yoshiharu Ono
  • Publication number: 20120003838
    Abstract: Line-wiggling and striation caused by collapse of a pattern after a silicon dioxide film is etched by plasma with the use of a multilayer resist mask are prevented or suppressed. In a plasma etching method of etching a film to be etched by plasma with the use of a multilayer resist mask, the multilayer resist mask includes an upper layer resist, an inorganic intermediate film, and a lower layer resist, and the method includes a side wall protective film forming step of forming a side wall protective film on a side wall of the lower layer resist.
    Type: Application
    Filed: August 12, 2010
    Publication date: January 5, 2012
    Inventors: Kazumasa Ookuma, Akito Kouchi, Kenichi Kuwahara, Michikazu Morimoto, Go Saito
  • Patent number: 8088691
    Abstract: An interlevel dielectric layer, such as a silicon oxide layer, is selectively etched using a plasma etch chemistry including a silicon species and a halide species and also preferably a carbon species and an oxygen species. The silicon species can be generated from a silicon compound, such as SixMyHz, where “Si” is silicon, “M” is one or more halogens, “H” is hydrogen and x?1, y?0 and z?0. The carbon species can be generated from a carbon compound, such as C?M?H?, where “C” is carbon, “M” is one or more halogens, “H” is hydrogen, and ??1, ??0 and ??0. The oxygen species can be generated from an oxygen compound, such as O2, which can react with carbon to form a volatile compound.
    Type: Grant
    Filed: February 26, 2009
    Date of Patent: January 3, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Mark Kiehlbauch, Ted Lyle Taylor
  • Patent number: 8084366
    Abstract: A method of making a device includes forming a device layer, forming an organic hard mask layer over the device layer, forming a first oxide hard mask layer over the organic hard mask layer, forming a DARC layer over the first oxide hard mask layer, forming a photoresist layer over the DARC layer, patterning the photoresist layer to form a photoresist pattern, and transferring the photoresist pattern to the device layer using the DARC layer, the first oxide hard mask layer and the organic hard mask layer.
    Type: Grant
    Filed: June 17, 2008
    Date of Patent: December 27, 2011
    Assignee: SanDisk 3D LLC
    Inventors: Michael Chan, Usha Raghuram
  • Patent number: 8076245
    Abstract: A metal oxide semiconductor (MOS) device includes a substrate, a lower sacrificial membrane adjacent to the substrate, an upper thin film structure adjacent to the lower membrane, and a MOS material deposited on the upper thin film structure.
    Type: Grant
    Filed: May 13, 2008
    Date of Patent: December 13, 2011
    Assignee: Honeywell International Inc.
    Inventors: Barrett E. Cole, Robert E. Higashi
  • Patent number: 8071484
    Abstract: There are provided a method of forming a fine pattern employing self-aligned double patterning. The method includes providing a substrate. First mask patterns are formed on the substrate. A reactive layer is formed on the substrate having the first mask patterns. The reactive layer adjacent to the first mask patterns is reacted using a chemical attachment process, thereby forming sacrificial layers along outer walls of the first mask patterns. The reactive layer that is not reacted is removed to expose the sacrificial layers. Second mask patterns are formed between the sacrificial layers adjacent to sidewalls of the first mask patterns facing each other. The sacrificial layers are removed to expose the first and second mask patterns and the substrate exposed between the first and second mask patterns. The substrate is etched using the first and second mask patterns as an etching mask.
    Type: Grant
    Filed: June 3, 2008
    Date of Patent: December 6, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyoung-Mi Kim, Jae-Ho Kim, Young-Ho Kim, Myung-Sun Kim, Youn-Kyung Wang, Mi-Ra Park
  • Patent number: 8071460
    Abstract: In a method of manufacturing a semiconductor device, a first film is formed directly on a semiconductor substrate and a second film is formed on the first film. A region of the second film is then etched to form an opening that exposes the first film. The first film is then arbitrarily patterned by etching to expose a surface of the semiconductor substrate. Thereafter, the second film and the exposed surface of the semiconductor substrate are simultaneously etched using the patterned first film as a mask and in an etching ambient having a low etching rate for the first film and having a high etching rate for the second film and the semiconductor substrate until the second film is almost completely etched and a detection amount of a monitored element of the first film increases.
    Type: Grant
    Filed: December 4, 2008
    Date of Patent: December 6, 2011
    Assignee: Seiko Instruments Inc.
    Inventors: Tomomitsu Risaki, Jun Osanai
  • Patent number: 8071983
    Abstract: A method of patterning a semiconductor film is described. According to an embodiment of the present invention, a hard mask material is formed on a silicon film having a global crystal orientation wherein the semiconductor film has a first crystal plane and second crystal plane, wherein the first crystal plane is denser than the second crystal plane and wherein the hard mask is formed on the second crystal plane. Next, the hard mask and semiconductor film are patterned into a hard mask covered semiconductor structure. The hard mask covered semiconductor structured is then exposed to a wet etch process which has sufficient chemical strength to etch the second crystal plane but insufficient chemical strength to etch the first crystal plane.
    Type: Grant
    Filed: May 8, 2009
    Date of Patent: December 6, 2011
    Assignee: Intel Corporation
    Inventors: Justin K. Brask, Jack Kavalieros, Uday Shah, Suman Datta, Amlan Majumdar, Robert S. Chau, Brian S. Doyle
  • Patent number: 8071485
    Abstract: Small feature patterning is accomplished using a multilayer hard mask (HM). Embodiments include sequentially forming a first HM layer and a multilayer HM layer over a substrate, the multilayer HM layer comprising sublayers, etching the multilayer HM layer to form a first opening having an upper first opening with sides converging to a lower second opening and a second opening with substantially parallel sides and an opening substantially corresponding to the lower second opening of the first opening, etching through the second opening to form a corresponding opening in the first HM layer, and etching the substrate through the corresponding opening in the first HM layer.
    Type: Grant
    Filed: June 29, 2009
    Date of Patent: December 6, 2011
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Doug H. Lee, Erik P. Geiss
  • Patent number: 8067314
    Abstract: Disclosed are methods and devices for targeting CD of selected transistors in a semiconductor device. Varying CD is done by forming hard mask lines in a hard mask layer that have varying amounts of spacer material associated therewith. Hard mask lines corresponding to selected transistors are either left covered or uncovered by a resist applied over the hard mask layer. Then, spacer material is selectively removed from the hard mask lines to vary the width of hard mask lines and associated side wall spacers. A gate layer is then etched through the spaces in the hard mask lines to form gate lines having varying widths and targeted CD.
    Type: Grant
    Filed: April 15, 2009
    Date of Patent: November 29, 2011
    Assignee: Spansion LLC
    Inventors: Bradley M. Davis, Jihwan Choi, Angela T. Hui
  • Patent number: 8062981
    Abstract: A method of forming a fine pattern of a semiconductor device using a fine pitch hard mask is provided. A first hard mask pattern including first line patterns formed on an etch target layer of a substrate with a first pitch is formed. A first layer including a top surface where a recess is formed between adjacent first line patterns is formed. A second hard mask pattern including second line patterns within the recess is formed. An anisotropic etching process is performed on the first layer using the first and the second line patterns as an etch mask. Another anisotropic etching process is performed on the etch target layer using the first and the second hard mask patterns as an etch mask.
    Type: Grant
    Filed: December 3, 2008
    Date of Patent: November 22, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-young Lee, Sang-gyun Woo, Joon-soo Park
  • Patent number: 8062971
    Abstract: Structures and methods of forming metallization layers on a semiconductor component are disclosed. The method includes etching a metal line trench using a metal line mask, and etching a via trench using a via mask after etching the metal line trench. The via trench is etched only in regions common to both the metal line mask and the via mask.
    Type: Grant
    Filed: March 19, 2008
    Date of Patent: November 22, 2011
    Assignee: Infineon Technologies AG
    Inventors: Philipp Riess, Erdem Kaltalioglu, Hermann Wendt
  • Patent number: 8048811
    Abstract: By forming a hardmask layer in combination with one or more cap layers, undue exposure of a sensitive dielectric material to resist stripping etch ambients may be reduced and integrity of the hardmask may also be maintained so that the trench etch process may be performed with a high degree of etch selectivity during the patterning of openings in a metallization layer of a semiconductor device.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: November 1, 2011
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Frank Feustel, Thomas Werner, Juergen Boemmels
  • Patent number: 8048812
    Abstract: Differently-sized features of an integrated circuit are formed by etching a substrate using a mask which is formed by combining two separately formed patterns. Pitch multiplication is used to form the relatively small features of the first pattern. Pitch multiplication is accomplished by patterning an amorphous carbon layer. Sidewall spacers are then formed on the amorphous carbon sidewalls which are then removed; the sidewall spacers defining the first mask pattern. A bottom anti-reflective coating (BARC) is then deposited to form a planar surface and a photoresist layer is formed over the BARC. The photoresist is next patterned by conventional photolithography to form the second pattern, which is transferred to the BARC. The combined pattern is transferred to an underlying amorphous silicon layer. The combined pattern is then transferred to the silicon oxide layer and then to an amorphous carbon mask layer. The combined mask pattern, is then etched into the underlying substrate.
    Type: Grant
    Filed: April 28, 2010
    Date of Patent: November 1, 2011
    Assignee: Round Rock Research, LLC
    Inventors: Luan Tran, William T. Rericha, John Lee, Ramakanth Alapati, Sheron Honarkhah, Shuang Meng, Puneet Sharma, Jingyi Bai, Zhiping Yin, Paul Morgan, Mirzafer K. Abatchev, Gurtej S. Sandhu, D. Mark Durcan
  • Patent number: 8048325
    Abstract: A method for etching an organic anti-reflective coating (ARC) layer on a substrate in a plasma processing system comprising: introducing a process gas comprising ammonia (NH3), and a passivation gas; forming a plasma from the process gas; and exposing the substrate to the plasma. The process gas can, for example, constitute NH3 and a hydrocarbon gas such as at least one of C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, and C6H12. Additionally, the process chemistry can further comprise the addition of helium. The present invention further presents a method for forming a bilayer mask for etching a thin film on a substrate, wherein the method comprises: forming the thin film on the substrate; forming an ARC layer on the thin film; forming a photoresist pattern on the ARC layer; and transferring the photoresist pattern to the ARC layer with an etch process using a process gas comprising ammonia (NH3), and a passivation gas.
    Type: Grant
    Filed: January 7, 2008
    Date of Patent: November 1, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Vaidyanathan Balasubramaniam, Koichiro Inazawa, Rie Inazawa, Rich Wise, Arpan Mahorawala, Siddhartha Panda
  • Publication number: 20110250761
    Abstract: A plasma etching method is provided to perform a plasma etching on a silicon oxide film or a silicon nitride film formed below an amorphous carbon film by using a pattern of the amorphous carbon film as a final mask in a multilayer mask including a photoresist layer having a predetermined pattern, an organic bottom anti-reflection coating (BARC) film formed below the photoresist layer, an SiON film formed below the BARC film, and the amorphous carbon film formed below the SiON film. An initial mask used at the time when the plasma etching of the silicon oxide film or the silicon nitride film is started is under a state in which the SiON film remains on the amorphous carbon film and a ratio of a film thickness of the amorphous carbon film to a film thickness of the residual SiON film is smaller than or equal to about 14.
    Type: Application
    Filed: March 11, 2011
    Publication date: October 13, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Sungtae LEE, Masahiro Ogasawara, Junichi Sasaki, Naohito Yanagida
  • Patent number: 8030187
    Abstract: A substrate is exposed to a plasma generated from a gas containing an impurity, thereby doping a surface portion of the substrate with the impurity and thus forming an impurity region. A predetermined plasma doping time is used, which is included within a time range over which a deposition rate on the substrate by the plasma is greater than 0 nm/min and less than or equal to 5 nm/min.
    Type: Grant
    Filed: September 3, 2008
    Date of Patent: October 4, 2011
    Assignee: Panasonic Corporation
    Inventors: Yuichiro Sasaki, Katsumi Okashita, Keiichi Nakamoto, Bunji Mizuno
  • Patent number: 8026179
    Abstract: A patterning method is provided. First, a mask layer and a plurality of first transfer patterns are sequentially formed on a target layer. Thereafter, a plurality of second patterns is formed in the gaps between the first transfer patterns. Afterwards, a plurality of third transfer patterns is formed, wherein each of the third transfer patterns is in a gap between a first transfer pattern and a second transfer pattern adjacent to the first transfer pattern. A portion of the mask layer is then removed, using the first transfer patterns, the second transfer patterns and third transfer patterns as a mask, so as to form a patterned mask layer. Further, a portion of the target layer is removed using the patterned mask layer as a mask.
    Type: Grant
    Filed: April 9, 2009
    Date of Patent: September 27, 2011
    Assignee: MACRONIX International Co., Ltd.
    Inventor: Hang-Ting Lue
  • Patent number: 8021985
    Abstract: The process of the present invention to form a mask made of inorganic material containing silicon reduces the plasma damage induced in the semiconductor layers due to the plasma-ashing. The semiconductor material is heat-treated at a high temperature after the growth thereof to form an oxide layer positively in the surface of the semiconductor material before it is covered by the silicon inorganic film. This inorganic film is dry-etched by an etchant containing fluorine to get a mask for forming a mesa and for growing burying layer selectively.
    Type: Grant
    Filed: December 17, 2010
    Date of Patent: September 20, 2011
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventor: Tomokazu Katsuyama
  • Patent number: 8008211
    Abstract: A pattern forming method includes (a) forming pairs of deposits on sidewalls of mask portions in first mask patterns by forming a thin film thereon, etching it to leave deposits, and exposing a top surface of a second-layer film between the deposits; (b) forming second mask patterns formed of mask portions corresponding to the deposits by removing the mask portion, plasma etching the second-layer film, and removing the deposits; (c) forming a thin film thereon, and etching it to leave deposits on sidewalls of mask portions facing each other and to expose a third-layer film between the deposits while leaving deposits between adjacent mask portions; and (d) forming grooves thereon by removing the second mask portion, and etching off the third-layer film.
    Type: Grant
    Filed: December 24, 2008
    Date of Patent: August 30, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Akitake Tamura, Teruyuki Hayashi, Kaoru Fujihara
  • Patent number: 8008210
    Abstract: An exposure mask for forming a G-type active region with a double patterning technology includes a bar shaped first light-blocking pattern to define an I-type active region, and an island shaped second light-blocking pattern to define a bit line contact region. The first light-blocking pattern and the second light-blocking pattern are arranged alternately.
    Type: Grant
    Filed: December 7, 2007
    Date of Patent: August 30, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Seo Min Kim
  • Patent number: 8003543
    Abstract: A method of forming hard mask employs a double patterning technique. A first hard mask layer is formed on a substrate, and a first sacrificial pattern is formed on the first hard mask layer by photolithography. Features of the first sacrificial pattern are spaced from one another by a first pitch. A second hard mask layer is then formed conformally on the first sacrificial pattern and the first hard mask layer so as to delimit recesses between adjacent features of the first sacrificial pattern. Upper portions of the second hard mask layer are removed to expose the first sacrificial pattern, and the exposed first sacrificial pattern and the second sacrificial pattern are removed. The second hard mask layer and the first hard mask layer are then etched to form a hard mask composed of residual portions of the first hard mask layer and the second hard mask layer.
    Type: Grant
    Filed: April 14, 2010
    Date of Patent: August 23, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Cha-won Koh, Han-ku Cho, Jeong-lim Nam, Gi-sung Yeo, Joon-soo Park, Ji-young Lee
  • Patent number: 8003540
    Abstract: A method for manufacturing a semiconductor device includes forming an underlying layer over a semiconductor substrate; forming a hard mask layer over the underlying layer; forming first etch patterns over the hard mask layer; forming second etch patterns between the first photoresist patterns; etching the hard mask layer using the first and second etch patterns as an etch mask to form a hard mask pattern; and etching the underlying layer using at least the hard mask pattern. The first and second etch patterns are formed on the same layer.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: August 23, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Cheol Kyu Bok
  • Patent number: 8003542
    Abstract: Multiple pitch-multiplied spacers are used to form mask patterns having features with exceptionally small critical dimensions. One of each pair of spacers formed around a plurality of mandrels is removed and alternating layers, formed of two mutually selectively etchable materials, are deposited around the remaining spacers. Layers formed of one of the materials are then etched, leaving behind vertically-extending layers formed of the other of the materials, which form a mask pattern. Alternatively, instead of depositing alternating layers, amorphous carbon is deposited around the remaining spacers followed by a plurality of cycles of forming pairs of spacers on the amorphous carbon, removing one of the pairs of spacers and depositing an amorphous carbon layer. The cycles can be repeated to form the desired pattern. Because the critical dimensions of some features in the pattern can be set by controlling the width of the spaces between spacers, exceptionally small mask features can be formed.
    Type: Grant
    Filed: June 22, 2009
    Date of Patent: August 23, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Sanket Sant, Gurtej S. Sandhu, Neal R. Rueger
  • Patent number: 8003544
    Abstract: A method of manufacturing a semiconductor device according to an embodiment includes processing a second film 14 formed on a semiconductor substrate to a pattern including a plurality of linear parts and end portions formed in an end of each of the linear parts, having a width wider than the linear parts, forming a first pattern 16 by slimming the pattern, forming a second pattern including a first opening 180 that traverses the end portion 141a of the first pattern 16, etching the second film 14 exposed in the first opening 180, and dividing the end portion 141a into a first end portion 142a close to the linear part 140a and a second end portion 143a apart from the linear part 140a.
    Type: Grant
    Filed: September 14, 2010
    Date of Patent: August 23, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Koichi Sato, Keisuke Kikutani
  • Patent number: 7998872
    Abstract: A method of dry developing a multi-layer mask having a silicon-containing anti-reflective coating (ARC) layer on a substrate is described. The method comprises forming the multi-layer mask on the substrate, wherein the multi-layer mask comprises a lithographic layer overlying the silicon-containing ARC layer. A feature pattern is then formed in the lithographic layer using a lithographic process, wherein the feature pattern comprises a first critical dimension (CD). Thereafter, the feature pattern is transferred from the lithographic layer to the silicon-containing ARC layer using a dry plasma etching process, wherein the first CD in the lithographic layer is reduced to a second CD in the silicon-containing layer and a first edge roughness is reduced to a second edge roughness in the silicon-containing ARC layer.
    Type: Grant
    Filed: February 6, 2008
    Date of Patent: August 16, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Vinh Hoang Luong, Masaru Nishino, Vaidyanathan Balasubramaniam
  • Patent number: 7998871
    Abstract: Methods of forming a mask for implanting a substrate and implanting using an implant stopping layer with a photoresist provide lower aspect ratio masks that cause minimal damage to trench isolations in the substrate during removal of the mask. In one embodiment, a method of forming a mask includes: depositing an implant stopping layer over the substrate; depositing a photoresist over the implant stopping layer, the implant stopping layer having a density greater than the photoresist; forming a pattern in the photoresist by removing a portion of the photoresist to expose the implant stopping layer; and transferring the pattern into the implant stopping layer by etching to form the mask. The implant stopping layer may include: hydrogenated germanium carbide, nitrogenated germanium carbide, fluorinated germanium carbide, and/or amorphous germanium carbon hydride (GeHX), where X includes carbon. The methods/mask reduce scattering during implanting because the mask has higher density than conventional masks.
    Type: Grant
    Filed: June 25, 2008
    Date of Patent: August 16, 2011
    Assignee: International Business Machines Corporation
    Inventors: Katherina Babich, Todd C. Bailey, Richard A. Conti, Ryan P. Deschner
  • Patent number: 7993536
    Abstract: According to one embodiment, a method of manufacturing a magnetic recording medium includes forming a first hard mask, a second hard mask and a resist film on a magnetic recording layer, imprinting a stamper on the resist film to transfer patterns of recesses and protrusions, removing residues remained in recess of the patterned resist film, etching the second hard mask using the patterned resist film as a mask to transfer patterns of recesses and protrusions, etching the first hard mask using the patterned second hard mask as a mask to transfer patterns of recesses and protrusions, and deactivating magnetism of the magnetic recording layer exposed in the recesses together with removing the second hard mask by ion-beam etching.
    Type: Grant
    Filed: December 11, 2009
    Date of Patent: August 9, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yousuke Isowaki, Kaori Kimura, Yoshiyuki Kamata, Masatoshi Sakurai
  • Patent number: 7988873
    Abstract: A method of forming a mask pattern for fabricating a semiconductor device. A first region and a second region, having an intersecting third region, are defined in the semiconductor substrate. An inorganic mask layer is etched in the first region to a predetermined thickness, and etched in the second region to another predetermined thickness. While the inorganic mask layer is etched in the first and second region, an organic mask layer is exposed in the third region. The organic mask layer exposed in the third region is removed to form a mask pattern. Consequently, double exposure is performed using the organic mask layer and the inorganic mask layer, so that a fine feature size that closely follows a desired layout can be formed, damage to the organic mask layer by ashing is prevented, and adhesiveness between the organic mask layer and the inorganic mask layer can be improved.
    Type: Grant
    Filed: June 26, 2007
    Date of Patent: August 2, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyong-Soo Kim, Sang-Hyeop Lee
  • Patent number: 7989303
    Abstract: In an embodiment, a method of creating an alignment mark on a substrate includes forming a plurality of lines segmented into electrically conducting line segments and space segments, thereby forming spaces between the lines to form a macroscopic structure in a first layer of the substrate, creating a plurality of electrically conducting trenches in a second layer of the substrate, and arranging the plurality of trenches to be in electrical contact with the line segments and overlapping the space segments at least partially.
    Type: Grant
    Filed: December 29, 2008
    Date of Patent: August 2, 2011
    Assignee: ASML Netherlands B.V.
    Inventor: Richard Johannes Franciscus Van Haren
  • Patent number: 7985692
    Abstract: A method of high aspect ratio contact etching a substantially vertical contact hole in an oxide layer using a hard photoresist mask is described. The oxide layer is deposited on an underlying substrate. A plasma etching gas is formed from a carbon source gas. Dopants are mixed into the gas. The doped plasma etching gas etches a substantially vertical contact hole through the oxide layer by doping carbon chain polymers formed along the sidewalls of the contact holes during the etching process into a conductive state. The conductive state of the carbon chain polymers reduces the charge buildup along sidewalls to prevent twisting of the contact holes by bleeding off the charge and ensuring proper alignment with active area landing regions. The etching stops at the underlying substrate.
    Type: Grant
    Filed: January 23, 2008
    Date of Patent: July 26, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Max F. Hineman, Daniel A. Steckert, Jingyi Bai, Shane J. Trapp, Tony Schrock
  • Patent number: 7986049
    Abstract: A semiconductor device includes a hard mask including a first layer and a second layer in contact with each other and having opposite stress types, wherein a difference between initial stresses of the first layer and the second layer is increased so that after a thermal process, the difference between the final stresses of the first and second layer becomes smaller, to reduce the likelihood of peeling of the first or second layer. The initial stress of the first layer includes a compressive stress and the initial stress of the second layer includes a tensile stress.
    Type: Grant
    Filed: July 15, 2010
    Date of Patent: July 26, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jung-Seock Lee, Ki-Won Nam
  • Patent number: 7981800
    Abstract: A shallow trench isolation (STI) structure and method for forming the same is provided that reduces defects in a nitride film used as a field oxide mask and variations in pad oxide thickness. Generally, the method involves depositing a nitride over pad oxide on a substrate using plasma enhanced chemical vapor deposition (PECVD), and patterning the PECVD nitride to form a field oxide mask. In certain embodiments, patterning the PECVD nitride involves: (i) forming a patterned resist layer on the PECVD nitride; (ii) etching in a process chamber at least one opening through at least the PECVD nitride; and (iii) stripping the patterned resist layer in-situ in the same process chamber in which the at least one opening was etched through the PECVD nitride using a fluorine based plasma. Other embodiments are also disclosed.
    Type: Grant
    Filed: August 25, 2006
    Date of Patent: July 19, 2011
    Assignee: Cypress Semiconductor Corporation
    Inventors: Geethakrishnan Narasimhan, Mehran Sedigh
  • Patent number: 7977246
    Abstract: A thermal anneal process for preventing formation of certain BPSG surface defects following an etch or silicon clean step using a fluorine and hydrogen chemistry. The thermal anneal process is carried out while protecting the wafer from moisture, by heating the wafer to a sufficiently high temperature for a sufficient duration of time to thermally diffuse boron and/or phosphorus materials separated from silicon near the surface of the doped glass layer into the bulk of the layer. The thermal anneal process is completed by cooling the wafer to a sufficiently low temperature to fix the distribution of the boron and/or phosphorus materials in bulk of the doped glass layer.
    Type: Grant
    Filed: July 15, 2008
    Date of Patent: July 12, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Haichun Yang, Chien-Teh Kao, Xinliang Lu, Mei Chang
  • Patent number: 7977248
    Abstract: In general, in one aspect, a method includes forming a hard mask on a semiconductor substrate. A first resist layer is patterned on the hard mask as a first plurality of lines separated by a first defined pitch. The hard mask is etched to a portion of formed thickness to create a first plurality of fins in alignment with the first plurality of lines and the first resist layer is removed. A second resist layer is patterned on the hard mask as a second plurality of lines separated by a second defined pitch. The second plurality of lines is patterned between the first plurality of lines. The hard mask is etched to the portion of the formed thickness to create a second plurality of fins in alignment with the second plurality of lines. The first plurality of hard mask fins and the second plurality of hard mask fins are interwoven and have same thickness.
    Type: Grant
    Filed: December 31, 2007
    Date of Patent: July 12, 2011
    Assignee: Intel Corporation
    Inventors: Elliot Tan, Michael K. Harper, James Jeong
  • Patent number: 7960265
    Abstract: A method for fabricating a semiconductor device includes forming an etch target layer over a substrate including a cell region and a peripheral region, forming a first mask pattern having a first portion and a second portion over the etch target layer in the cell region and forming a second mask pattern having a first portion and a second portion over the etch target layer in the peripheral region, forming a photoresist pattern over the cell region, trimming the first portion of the second mask pattern, removing the photoresist pattern and the second portion of the first mask pattern and the second portion of the second mask pattern, and etching the etch target layer to form a pattern in the cell region and a pattern in the peripheral region.
    Type: Grant
    Filed: June 15, 2010
    Date of Patent: June 14, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jin-Ki Jung
  • Patent number: 7955987
    Abstract: An exposure mask and a method of forming a contact hole of a semiconductor device using the same, in which micro patterns can be formed are disclosed herein. In an aspect, an exposure mask method includes a mask substrate, a light-shield pattern formed on the mask substrate, and a transparent pattern in which a plurality of patterns, which are limited to the light-shield pattern and have different short-direction widths and long-direction widths, form a group which is repeatedly arranged. Accordingly, micro photoresist patterns can be formed uniformly.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: June 7, 2011
    Assignee: Shin & Kim
    Inventor: Cheol Hoon Yang
  • Patent number: 7955985
    Abstract: A method for fabricating a semiconductor device includes forming a target etch layer over a substrate, a first auxiliary layer over the target etch layer, an isolation layer over the first auxiliary layer, and a second auxiliary layer over the isolation layer. A first exposure process is performed, where the first auxiliary layer is in focus and the second auxiliary layer is out of focus. A second exposure process is performed, where the second auxiliary layer in focus and the first auxiliary layer is out of focus. The second auxiliary layer is developed to form first mask patterns. The isolation layer and the first auxiliary layer are etched by using the first mask patterns to form second mask patterns. The second mask patterns are developed to form third mask patterns that are used to facilitate subsequent etching of the target etch layer.
    Type: Grant
    Filed: January 18, 2008
    Date of Patent: June 7, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Woo Yung Jung, Yong Chul Shin
  • Patent number: 7951670
    Abstract: A split gate memory cell. A floating gate is disposed on and insulated from a substrate comprising an active area separated by a pair of isolation structures formed therein. The floating gate is disposed between the pair of isolation structures and does not overlap the upper surface thereof. A cap layer is disposed on the floating gate. A control gate is disposed over the sidewall of the floating gate and insulated therefrom, partially extending to the upper surface of the cap layer. A source region is formed in the substrate near one side of the floating gate.
    Type: Grant
    Filed: March 6, 2006
    Date of Patent: May 31, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chen-Ming Huang, Hung-Cheng Sung, Wen-Ting Chu, Chang-Jen Hsieh, Ya-Chen Kao
  • Patent number: 7943520
    Abstract: A hole pattern forming method that forms a fine hole pattern in a work target layer that is formed on a semiconductor substrate, includes: forming a three-layer structure by laminating a carbon film layer, an intermediate mask layer, and a photoresist layer in that order on the work target layer; after patterning a hole pattern in the photoresist layer, patterning the hole pattern in the intermediate mask layer with the patterned photoresist layer serving as a mask; forming a sidewall oxide film on exposed portions of the photoresist layer, the intermediate mask layer, and the carbon film layer; forming a sidewall portion that includes the sidewall oxide film on inner wall surfaces of the hole pattern by etching back the sidewall oxide film; and after patterning a fine hole pattern in the carbon film layer with the sidewall portion and the intermediate mask layer serving as a mask, patterning the fine hole pattern in the work target layer with the patterned carbon film layer serving as a mask.
    Type: Grant
    Filed: October 7, 2008
    Date of Patent: May 17, 2011
    Assignee: Elpida Memory Inc.
    Inventor: Toshiyuki Hirota
  • Patent number: 7943053
    Abstract: A method of forming a micro pattern in a semiconductor device includes forming an etching object layer and a hard mask layer on a semiconductor substrate. Cross-shaped first auxiliary patterns are formed on the hard mask layer. An insulating layer is formed on the hard mask layer including the first auxiliary pattern. A second auxiliary pattern is formed on the insulating layer between the first auxiliary patterns. An etching process is performed such that the insulating layer remains only on a lower portion of the second auxiliary pattern. The hard mask is etched through an etching process using the first and second auxiliary patterns as an etching mask to form a hard mask pattern. The etching object layer is etched using the hard mask pattern as an etching mask.
    Type: Grant
    Filed: January 25, 2008
    Date of Patent: May 17, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Woo-Yung Jung
  • Patent number: 7939451
    Abstract: A method for fabricating a patter is provided as followed. First, a material layer is provided, whereon a patterned hard mask layer is formed. A spacer is deposited on the sidewalls of the patterned hard mask layer. Then, the patterned hard mask layer is removed, and an opening is formed between the adjacent spacers. Afterwards, a portion of the material layer is removed to form a patterned material layer by using the spacer as mask.
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: May 10, 2011
    Assignee: Macronix International Co., Ltd.
    Inventors: Shih-Chang Tsai, Chun-Hung Lee, Ming-Cheng Deng, Ta-Hung Yang