Utilizing Multilayered Mask Patents (Class 438/717)
  • Publication number: 20090197422
    Abstract: A method of forming features in a porous low-k dielectric layer disposed below a patterned organic mask is provided. Features are etched into the porous low-k dielectric layer through the patterned organic mask, and then the patterned organic mask is stripped. The stripping of the patterned organic mask includes providing a stripping gas comprising COS, forming a plasma from the stripping gas, and stopping the stripping gas. A cap layer may be provided between the porous low-k dielectric layer and the patterned organic mask. The stripping of the patterned organic mask leaves the cap layer on the porous low-k dielectric layer.
    Type: Application
    Filed: January 27, 2009
    Publication date: August 6, 2009
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Sean S. KANG, Sang Jun CHO, Thomas S. CHOI
  • Patent number: 7569477
    Abstract: A method for fabricating a fine pattern in a semiconductor device includes forming a first photoresist pattern over an etch target layer, forming a first hard mask layer over a substrate structure, planarizing the first hard mask layer to form a first hard mask pattern and expose the first photoresist pattern, removing the first photoresist pattern, forming a second photoresist pattern enclosing the first hard mask pattern, forming a second hard mask layer over the substrate structure, planarizing the second hard mask layer to form a second hard mask pattern and expose the first hard mask pattern, removing the second photoresist pattern, and etching the etch target layer using the first hard mask pattern and the second hard mask pattern.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: August 4, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jung-Woo Park
  • Patent number: 7569403
    Abstract: A pattern evaluation method using a circuit arrangement provided with N (N is a natural number of 2 or greater) circuit groups having wiring whose widths are different to each other, each circuit group including first to Mth circuits having first to Mth (M is a natural number of 2 or greater) wiring formed of a conductive layer, respectively, each of the first to the Mth wiring having the same width that is electrically measurable, the pattern evaluation method includes: arranging patterns to be evaluated so that the Mth wiring or a layer in the vicinity thereof is locally removed; electrically calculating a first characteristic value indicating a characteristic of the first circuit including at least the wiring width of the first wiring; electrically calculating an Mth characteristic value which is a value indicating the characteristic of the Mth circuit and dependent on a geometric relationship between the pattern to be evaluated and the Mth wiring; and evaluating the characteristic of the pattern to be
    Type: Grant
    Filed: May 19, 2005
    Date of Patent: August 4, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yuji Kobayashi
  • Patent number: 7563382
    Abstract: A method of fabricating a mask which can endure use for a long time and can be used for forming an isolated pattern with a high aspect ratio. The method includes the steps of: forming a soft material layer by disposing a soft material having positive photo sensitivity and adhesion or adhesiveness on a material as a target of machining; forming a hard material layer by disposing an opaque hard material in which a desired mask pattern has been formed in advance on the soft material layer; and forming the mask pattern in the soft material layer by performing exposure to light and development on the soft material layer by using the hard material layer as a photomask.
    Type: Grant
    Filed: August 27, 2004
    Date of Patent: July 21, 2009
    Assignee: FUJIFILM Corporation
    Inventor: Atsushi Osawa
  • Patent number: 7560390
    Abstract: Multiple pitch-multiplied spacers are used to form mask patterns having features with exceptionally small critical dimensions. One of each pair of spacers formed around a plurality of mandrels is removed and alternating layers, formed of two mutually selectively etchable materials, are deposited around the remaining spacers. Layers formed of one of the materials are then etched, leaving behind vertically-extending layers formed of the other of the materials, which form a mask pattern. Alternatively, instead of depositing alternating layers, amorphous carbon is deposited around the remaining spacers followed by a plurality of cycles of forming pairs of spacers on the amorphous carbon, removing one of the pairs of spacers and depositing an amorphous carbon layer. The cycles can be repeated to form the desired pattern. Because the critical dimensions of some features in the pattern can be set by controlling the width of the spaces between spacers, exceptionally small mask features can be formed.
    Type: Grant
    Filed: June 2, 2005
    Date of Patent: July 14, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Sanket Sant, Gurtej Sandhu, Neal R. Rueger
  • Patent number: 7550394
    Abstract: A method of fabricating a semiconductor device includes a dry etching process of a silicon surface. The dry etching process is conducted by an etching gas containing at least one gas species selected from the group consisting of: HBr, HCl, Cl2, Br2 and HI, wherein the dry etching process includes a first step conducted at a first temperature; and a second step conducted at a second temperature.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: June 23, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Hiroshi Morioka
  • Patent number: 7550391
    Abstract: A method for forming fine patterns of a semiconductor device is disclosed. The method includes forming an etch film on a substrate, forming a protection film on the etch film, forming a hard mask layer on the protection film, and forming a plurality of first mask patterns characterized by a first pitch on the hard mask layer. The method further comprises forming a plurality of second mask patterns, forming hard mask patterns exposing portions of the protection film by etching the hard mask layer using the first and second mask patterns as an etch mask, and removing the first and second mask patterns. The method still further comprises exposing portions of the etch film and forming a plurality of fine patterns characterized by a second pitch equal to half of the first pitch by etching the etch film using at least the hard mask patterns as an etch mask.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: June 23, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyung-yub Jeon, Myeong-cheol Kim, Hak-sun Lee, Je-woo Han
  • Patent number: 7550392
    Abstract: A semiconductor device manufacturing method, includes a step of forming a first alumina film (underlying insulating film) 37 on a semiconductor substrate 20, a step of forming a first conductive film 41, a ferroelectric film 42, and a second conductive film 43 in sequence on the first alumina film 37, a step of forming a mask material film 45 on the second conductive film 43, a step of shaping the mask material film 45 into an auxiliary mask 45a, a step of shaping the second conductive film 43 into an upper electrode 43a by an etching using the auxiliary mask 45a and a first resist pattern 46 as a mask, a step of shaping the ferroelectric film 42 into a capacitor dielectric film 42a by patterning, and a step of shaping the first conductive film 41 into a lower electrode 41a by patterning, whereby a capacitor Q is constructed by the lower electrode 41, the capacitor dielectric film 42a, and the upper electrode 43a.
    Type: Grant
    Filed: September 13, 2005
    Date of Patent: June 23, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Genichi Komuro, Kenji Kiuchi
  • Patent number: 7547638
    Abstract: A method for manufacturing a semiconductor device includes the steps of forming a circuit element on a semiconductor substrate, forming an insulation film covering the circuit element, forming a first electrode on the insulation film, forming a ferroelectric film on the first electrode, forming a second electrode on the ferroelectric film, forming a hardmask comprised of lower, middle, and upper layer mask films on the second electrode, etching the second electrode using the upper layer mask film as an etching mask, removing the upper layer mask film remaining after the etching of the second electrode, etching the ferroelectric film and the first electrode using the middle layer mask film as an etching mask, removing the middle layer mask film remaining after the etching of the ferroelectric film and the first electrode, and removing the lower layer mask film.
    Type: Grant
    Filed: June 9, 2006
    Date of Patent: June 16, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Akira Takahashi
  • Patent number: 7547637
    Abstract: A method of patterning a semiconductor film is described. According to an embodiment of the present invention, a hard mask material is formed on a silicon film having a global crystal orientation wherein the semiconductor film has a first crystal plane and second crystal plane, wherein the first crystal plane is denser than the second crystal plane and wherein the hard mask is formed on the second crystal plane. Next, the hard mask and semiconductor film are patterned into a hard mask covered semiconductor structure. The hard mask covered semiconductor structured is then exposed to a wet etch process which has sufficient chemical strength to etch the second crystal plane but insufficient chemical strength to etch the first crystal plane.
    Type: Grant
    Filed: June 21, 2005
    Date of Patent: June 16, 2009
    Assignee: Intel Corporation
    Inventors: Justin K. Brask, Jack Kavalieros, Uday Shah, Suman Datta, Amlan Majumdar, Robert S. Chau, Brian S. Doyle
  • Patent number: 7541290
    Abstract: Methods of forming integrated circuit devices include steps to selectively widen portions of a mask pattern extending adjacent an outer edge of a semiconductor wafer. These steps to selectively widen portions of the mask pattern are performed so that more uniform center-to-edge critical dimensions (CD) can be achieved when the mask pattern is used to support photolithographically patterning of underlying layers (e.g., insulating layers, antireflective coatings, etc.).
    Type: Grant
    Filed: March 8, 2007
    Date of Patent: June 2, 2009
    Assignees: Samsung Electronics Co., Ltd., International Business Machines Corporation, Infineon Technologies AG, Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Chong Kwang Chang, Wan Jae Park, Len Yuan Tsou, Haoren Zhuang, Matthias Lipinsky, Shailendra Mishra
  • Patent number: 7541286
    Abstract: A semiconductor device manufacturing method using a KrF light source is disclosed. Embodiments relate to a method for manufacturing a semiconductor device including forming an oxide film over a semiconductor substrate. A gate conductor may be formed over the oxide film. An antireflective film may be formed over the gate conductor. A photoresist film may be formed over the antireflective film. The photoresist film may be photo-etched, thereby forming a first photoresist film pattern having a first line width. The antireflective film may be etched, using the first photoresist film pattern as a mask, thereby forming an antireflective film pattern. The first photoresist film pattern may be simultaneously laterally etched, thereby forming a second photoresist film pattern having a second line width corresponding to a final design value for the gate conductor.
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: June 2, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Chang-Myung Lee
  • Patent number: 7541291
    Abstract: A feature in a layer is provided. A photoresist layer is formed over the layer. The photoresist layer is patterned to form photoresist features with photoresist sidewalls, where the photoresist features have a first critical dimension. A conformal layer is deposited over the sidewalls of the photoresist features to reduce the critical dimensions of the photoresist features. Features are etched into the layer, wherein the layer features have a second critical dimension, which is less than the first critical dimension.
    Type: Grant
    Filed: June 22, 2007
    Date of Patent: June 2, 2009
    Assignee: Lam Research Corporation
    Inventors: Sean S. Kang, Sangheon Lee, Wan-Lin Chen, Eric A. Hudson, S. M. Reza Sadjadi, Gan Ming Zhao
  • Patent number: 7538039
    Abstract: A wiring over a substrate capable of reducing particles between wirings and a method for manufacturing the wiring is disclosed. A wiring over a substrate capable of preventing short-circuiting between wirings due to big difference in projection and depression between wirings and a method for manufacturing the wiring is also disclosed. Further, a wiring over a substrate capable of preventing cracks in the insulating layer due to stress at the edge of a wiring or particles and a method for manufacturing the wiring is also disclosed.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: May 26, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shinya Sasagawa, Satoru Okamoto, Shigeharu Monoe
  • Patent number: 7538040
    Abstract: A method for patterning CNTs on a wafer wherein a CNT layer is provided on a substrate, a hard mask film is deposited on the CNT layer, a BARC layer (optional) is coated on the hard mask film, and a resist is patterned on the BARC layer (or directly on the hard mask film if the BARC layer is not included). Then, the resist pattern is effectively transferred to the hard mask film by etching the BARC layer (if provided) and etching partly into, but not entirely through, the hard mask film (i.e., etching is stopped before reaching the CNT layer) Then, the resist and the BARC layer (if provided) is stripped, and the hard mask pattern is effectively transferred to the CNTs by etching away (preferably by using Cl, F plasma) the portions of the hard mask which have been already partially etched away.
    Type: Grant
    Filed: December 8, 2005
    Date of Patent: May 26, 2009
    Assignee: Nantero, Inc.
    Inventors: Shiqun Gu, Peter G. McGrath, James Elmer, Richard J. Carter, Thomas Rueckes
  • Patent number: 7526856
    Abstract: A method for fabricating sliders (magnetic heads) with a recessed surface around a magnetic feature such as the active components of the write head on the air-bearing surface (ABS) is described. An embodiment of the method applies a positive photoresist to the exposed ABS surface, a magnetic field is applied, then liquid ferrofluid is applied on top of the photoresist. The pole pieces around the write gap will interact with the applied magnetic field so that the field gradient is highest around the write gap and the mobile ferrofluid will preferentially collect in the areas of the surface having the highest magnetic field gradient. The opaque magnetic particles in the ferrofluid form an optical ferrofluid mask over the photoresist around the write gap. The unmasked surface of the slider is milled which results in the recession of material around the write gap.
    Type: Grant
    Filed: March 27, 2006
    Date of Patent: May 5, 2009
    Assignee: Hitachi Global Technologies Netherlands B.V.
    Inventor: Vladimir R. Nikitin
  • Patent number: 7521371
    Abstract: In some embodiments, an opening is formed through a first material, and sidewall topography of the opening is utilized to form a pair of separate anistropically etched spacers. The spacers are utilized to pattern lines in material underlying the spacers. Some embodiments include constructions having one or more openings which contain steep sidewalls joining to one another at shallow sidewall regions. The constructions may also contain lines along and directly against the steep sidewalls, and spaced from one another by gaps along the shallow sidewall regions.
    Type: Grant
    Filed: August 21, 2006
    Date of Patent: April 21, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Lee DeBruler
  • Patent number: 7521369
    Abstract: A method is disclosed for the selective removal of rare earth based high-k materials such as rare earth scandate high-k materials (e.g. DyScO3) over silicon or silicon dioxide. As an example Dy and Sc comprising high-k materials are used as a high-k material in gate stacks of a semiconductor device. The selective removal and etch of this high-k material is very difficult since Dy and Sc (and their oxides) are difficult to etch. The etching could however be easily stopped on them. For patterning of the metal gates comprising TiN and TaN on top of rare earth based high-k layer a chlorine-containing gases (Cl2 and BCl3) can be used since titanium ant tantalum chlorides are volatile and reasonable selectivity to other material present on the wafer (Si, SiO2) can be obtained. The Dy and Sc chlorides are not volatile, but they are water soluble.
    Type: Grant
    Filed: October 22, 2007
    Date of Patent: April 21, 2009
    Assignee: Interuniversitair Microelektronica Centrum (IMEC)
    Inventors: Denis Shamiryan, Marc Demand, Vasile Paraschiv
  • Patent number: 7521307
    Abstract: A CMOS structure and methods for fabricating the CMOS structure provide that a first stressed layer located over a first transistor and a second stressed layer located over a second transistor abut but do not overlap. Such an abutment absent overlap provides for enhanced manufacturing flexibility when forming a contact to a silicide layer upon a source/drain region within one of the first transistor and the second transistor.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: April 21, 2009
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Daewon Yang
  • Patent number: 7521367
    Abstract: A method for circuit modification of an microelectronic chip having at least one conductor in an organic dielectric, includes applying a protective inorganic surface layer on top of the organic dielectric, forming at least one window in the protective inorganic surface layer to selectively expose the underlying organic dielectric, etching the organic dielectric in the window area to selectively remove the organic dielectric adjacent to the conductor, and performing at least one process that modifies the conductor.
    Type: Grant
    Filed: September 17, 2003
    Date of Patent: April 21, 2009
    Assignee: International Business Machines Corporation
    Inventor: Edward J. Crawford
  • Patent number: 7510980
    Abstract: A method for manufacturing a semiconductor device of the present invention includes: forming a first film, a second film and a third film in sequence on a silicon substrate; patterning a resist film formed on the third film by conducting an exposure and developing process for the resist film employing an exposure mask including a phase shifter; selectively dry-etching the third film through a mask of the resist film employing the second film as an etch stop to process the third film into a first pattern; further dry-etching the third film employing the second film as an etch stop to partially remove the third film, thereby processing the third film into a second pattern; patterning the second film employing the third film having the second pattern as a mask; and patterning the first film employing the patterned second film as a mask.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: March 31, 2009
    Assignee: NEC Electronics Corporation
    Inventors: Toshihisa Koretsune, Masato Fujita
  • Patent number: 7510978
    Abstract: In the invention of this application, the resist pattern having a given pattern of opening concavity is formed on the component to be dry etched, the aqueous solution containing a water-soluble resin is filled in that opening concavity, and the filled aqueous solution containing a water-soluble resin is dried into a narrow shrunk resin at the middle of the opening concavity, whereby the mask of shrunk resin is formed. It is thus possible to form a micropattern much finer than determined by optical limits.
    Type: Grant
    Filed: February 13, 2007
    Date of Patent: March 31, 2009
    Assignee: TDK Corporation
    Inventor: Akifumi Kamijima
  • Publication number: 20090061638
    Abstract: A method for fabricating a micropattern of a semiconductor device is provided. The method includes forming a first hard mask over an etch target layer, forming a first sacrificial layer over the first hard mask, etching the first sacrificial layer to form a sacrificial pattern and forming spacers on both sidewalls of the sacrificial pattern, A second sacrificial layer is formed over the spacers and the first hard mask. A dummy mask is formed in a bent portion of the second sacrificial layer between the adjacent spacers. The sacrificial pattern and the second sacrificial layer are etched using the dummy mask and the spacers as an etch barrier layer to form a dummy pattern between the adjacent spacers. The first hard mask is etched using the spacers and the dummy pattern as an etch barrier layer to form a first hard mask pattern.
    Type: Application
    Filed: June 30, 2008
    Publication date: March 5, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventor: Hong-Gu YI
  • Patent number: 7482277
    Abstract: A method of multilevel microfabrication processing is provided. The method includes providing a planar substrate that comprises one or more material layers. A first hardmask layer placed on top of the substrate is patterned into the lithographic pattern desired for the top lithographic layer. Subsequent hardmask layers are patterned until the number of hardmask layers equals the number of lithographic layers desired. The method includes etching into the substrate and stripping the top hardmask layer. Furthermore, the method includes alternating etching into the substrate and stripping the subsequent hardmask layers until the bottom hardmask layer is stripped.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: January 27, 2009
    Assignee: Massachusetts Institute of Technology
    Inventors: Tymon Barwicz, Minghao Qi
  • Patent number: 7476623
    Abstract: In the method for microstructuring flat glass substrates a substrate surface of a glass substrate is coated with at least one structured mask layer and subsequently exposed to a chemically reactive ion etching process (RIE) with at least one chemical etching gas. In order to provide the same or a higher quality etching and etching rate even for economical types of glass the chemical etching gas is mixed with at least one noble gas, so that the proportion of sputtering etching in the ion etching process is significantly increased.
    Type: Grant
    Filed: October 4, 2005
    Date of Patent: January 13, 2009
    Assignee: Schott AG
    Inventors: Bianca Schreder, Rainer Liebald, Edgar Pawlowski, Dirk Sprenger, Dietrich Mund, Juergen Leib
  • Patent number: 7473648
    Abstract: A method of forming a planar CMOS transistor divides the step of forming the gate layer into a first step of patterning a resist layer with a first portion of the gate layer pattern and then etching the polysilicon with the pattern of the gates. A second step patterns a second resist layer with the image of the gate pads and local interconnect and then etching the polysilicon with the pattern of the gate pads and local interconnect, thereby reducing the number of diffraction and other cross-talk from different exposed areas.
    Type: Grant
    Filed: March 7, 2006
    Date of Patent: January 6, 2009
    Assignee: International Business Machines Corporation
    Inventors: Timothy A. Brunner, James A. Culp, Lars W. Liebmann
  • Patent number: 7470625
    Abstract: A method for controlling striations and CD loss in a plasma etching method is disclosed. During the etching process, the substrate of semiconductor material to be etched is exposed first to plasma under a low power strike and subsequently to a conventional high power strike. CD loss has been found to be reduced by about 400 Angstroms and striations formed in the contact holes are reduced.
    Type: Grant
    Filed: May 5, 2006
    Date of Patent: December 30, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Bradley J. Howard
  • Patent number: 7459331
    Abstract: A micro mirror unit includes a moving part carrying a mirror portion, a frame and torsion bars connecting the moving part to the frame. The moving part, the frame and the torsion bars are formed integral from a material substrate. The frame includes a portion thicker than the moving part.
    Type: Grant
    Filed: October 30, 2006
    Date of Patent: December 2, 2008
    Assignee: Fujitsu Limited
    Inventors: Yoshihiro Mizuno, Satoshi Ueda, Osamu Tsuboi, Ippei Sawaki, Hisao Okuda, Norinao Kouma, Hiromitsu Soneda, Fumio Yamagishi
  • Patent number: 7459363
    Abstract: A method for reducing line edge roughness comprises forming a masking structure on a substrate assembly, wherein the substrate assembly includes a number of layers. The method includes forming a layered masking structure by depositing a layer of material on the masking structure in order to reduce a line edge roughness (LER) of the masking structure, and etching a pattern of the layered masking structure into one or more of the number of layers of the substrate assembly before trimming the layered masking structure.
    Type: Grant
    Filed: February 22, 2006
    Date of Patent: December 2, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Krupakar M. Subramanian
  • Patent number: 7456099
    Abstract: A semiconductor structure includes a plurality of conductive lines formed within an interlevel dielectric (ILD) layer and a non-planar cap layer formed over the ILD layer and the conductive lines, wherein the cap layer is raised with respect to the conductive lines at locations between the conductive lines.
    Type: Grant
    Filed: May 25, 2006
    Date of Patent: November 25, 2008
    Assignee: International Business Machines Corporation
    Inventors: Lawrence A. Clevenger, Stephan Grunow, Kaushik A. Kumar, Kevin S. Petrarca, Vidhya Ramachandran, Theodorus E. Standaert
  • Patent number: 7452825
    Abstract: In the method of forming a mask structure, a first mask is formed on a substrate where the first mask includes a first mask pattern having a plurality of mask pattern portions having openings therebetween and a second mask pattern having a corner portion of which an inner side wall that is curved. A sacrificial layer is formed on the first mask. A hard mask layer is formed on the sacrificial layer. After the hard mask layer is partially removed until the sacrificial layer adjacent to the corner portion is exposed, a second mask is formed from the hard mask layer remaining in the space after removing the sacrificial layer. A minute pattern having a fine structure may be easily formed on the substrate.
    Type: Grant
    Filed: October 30, 2006
    Date of Patent: November 18, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Doo-Youl Lee, Han-Ku Cho, Suk-Joo Lee, Gi-Sung Yeo, Cha-Won Koh, Sung-Gon Jung
  • Patent number: 7446049
    Abstract: Disclosed is a method for fabricating a semiconductor device by using an amorphous carbon layer as a sacrificial hard mask. The method includes the steps of: forming an amorphous carbon layer on an etch target layer; forming a photoresist pattern on the amorphous carbon layer; etching the amorphous carbon layer by using the photoresist pattern to form a sacrificial hard mask; and etching the etch target layer by using the sacrificial hard mask to form a predetermined pattern.
    Type: Grant
    Filed: June 10, 2005
    Date of Patent: November 4, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: Kwang-Ok Kim, Yun-Seok Cho
  • Patent number: 7439187
    Abstract: A method of fabricating a grayscale reticule includes preparing a quartz substrate; depositing a layer of silicon-rich oxide on the quartz substrate; depositing a layer of silicon nitride as an oxidation barrier layer on the silicon-rich oxide layer; depositing and patterning a layer of photoresist; etching the silicon nitride layer with a pattern for the silicon nitride layer; removing the photoresist; cleaning the quartz substrate and the remaining layers; oxidizing the quartz substrate and the layers thereon, thereby converting the silicon-rich oxide layer to a transparent silicon dioxide layer; removing the remaining silicon nitride layer; forming the quartz substrate and the silicon dioxide thereon into a reticule; and using the reticule to pattern a microlens array.
    Type: Grant
    Filed: October 27, 2006
    Date of Patent: October 21, 2008
    Assignee: Sharp Laboratories of America
    Inventors: Yoshi Ono, Bruce D. Ulrich, Pooran Chandra Joshi
  • Publication number: 20080254638
    Abstract: Methods to etch an opening in a substrate layer with reduced critical dimensions are described. A multi-layered mask including a lithographically patterned photoresist and an unpatterned organic antireflective coating (BARC) is formed over a substrate layer to be etched. The BARC layer is etched with a significant negative etch bias to reduce the critical dimension of the opening in the multi-layer mask below the lithographically define dimension in the photoresist. The significant negative etch bias of the BARC etch is then utilized to etch an opening having a reduced critical dimension into the substrate layer. To plasma etch an opening in the BARC with a significant negative etch bias, a polymerizing chemistry, such as CHF3 is employed. In a further embodiment, the polymerizing chemistry provide at low pressure is energized at a relatively low power with a high frequency capacitively coupled source.
    Type: Application
    Filed: April 16, 2007
    Publication date: October 16, 2008
    Inventors: Judy Wang, Shin-Li Sung, Shawming Ma
  • Patent number: 7435354
    Abstract: A treatment method for a surface of a photoresist layer is provided. After forming a patterned photoresist layer over a wafer, a surface treatment step is performed to the photoresist layer by using at least one reaction gas comprising hydrogen bromide or hydrogen iodide to form a hardened layer over the surface of the photoresist layer. Wherein, the surface treatment step and the etching step are in-situ performed.
    Type: Grant
    Filed: January 6, 2005
    Date of Patent: October 14, 2008
    Assignee: United Microelectronic Corp.
    Inventor: Kao-Su Huang
  • Patent number: 7427566
    Abstract: A method is provided. The method includes forming a conductive layer on an inner surface of a substrate and providing a sacrificial layer over the conductive layer. The method includes forming a plurality of channels in the sacrificial layer and plating the sacrificial layer to substantially fill the plurality of channels with a plating material comprising conducting material. The method also includes etching the sacrificial layer to form a conducting structure having fins where conducting material remains separated by microchannels where the sacrificial layer is etched.
    Type: Grant
    Filed: December 9, 2005
    Date of Patent: September 23, 2008
    Assignee: General Electric Company
    Inventors: Kevin Matthew Durocher, Stacey Joy Goodwin, Ernest Wayne Balch, Christopher James Kapusta
  • Patent number: 7427569
    Abstract: A metal etching process is described. A substrate having a dielectric layer thereon is provided. An aluminum-copper alloy layer is formed on the dielectric layer. A hard mask layer is formed on the aluminum-copper alloy layer. A patterned photoresist layer is formed on the hard mask layer and then the hard mask layer is patterned. A thermal treatment process is performed. The thermal treatment process is carried out at a temperature of more than 300° C. for a period of at least 3 minutes. Thereafter, the aluminum-copper alloy layer is etched using the patterned hard mask layer as an etching mask. Due to the thermal treatment, the metal precipitate (CuAl2) within the aluminum-copper alloy layer is eliminated and hence the metal etching process is improved.
    Type: Grant
    Filed: February 23, 2006
    Date of Patent: September 23, 2008
    Assignee: ProMOS Technologies Inc.
    Inventors: Tza-Hao Wang, Jin-Yang Huang, Hung-Kwei Liao, Ming-Sheng Tung
  • Patent number: 7419908
    Abstract: A method of fabricating electronic, optical or magnetic devices requiring an array of large numbers of small feature in which regions defining individual features of the array are foamed by the steps of: (a) depositing a very thin film of a highly soluble solid onto a flat hydrophilic substrate; (b) exposing he film to solvent vapor under controlled conditions so that the film reorganizes into an array of discrete hemispherical islands on the surface; (c) depositing a film of a suitable resist material over the whole surface; (d) removing the hemispherical structures together with their coating of resist leaving a resist layer with an array of holes corresponding to the islands; and (e) subjecting the resulting structure to a suitable etching process so as to form a well at the position of each hole.
    Type: Grant
    Filed: February 10, 2006
    Date of Patent: September 2, 2008
    Assignee: Imperial Innovations Limited
    Inventor: Mino Green
  • Patent number: 7416973
    Abstract: By providing an additional silicon dioxide based etch stop layer, a corresponding etch process for forming contact openings for directly connecting polysilicon lines and active areas may be controlled in a highly reliable manner. In another aspect, the etch selectivity of the contact structure may be increased by a modification of the etch behavior of the exposed portion of the contact etch stop layer.
    Type: Grant
    Filed: October 3, 2006
    Date of Patent: August 26, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Carsten Peters, Heike Salz, Ralf Richter, Matthias Schaller
  • Patent number: 7416991
    Abstract: A method for patterning and forming very small structures on a substrate such as a wafer. The process uses a difference in surface energy between a mask and the substrate to selectively deposit a hard mask material such as a metal onto the surface of the substrate. The mask can be formed extremely thin, such as only an atomic mono-layer thick, and can be patterned by ion beam photolithography. The pattern can, therefore, be formed with extremely high resolution. The thin mask layer can be constructed of various materials and can be constructed of perfluorpolyether diacrylate (PDA), which can be dip coated to and exposed to form a desirable positive photoresist mask layer.
    Type: Grant
    Filed: May 11, 2006
    Date of Patent: August 26, 2008
    Assignee: Hitachi Global Storage Technologies Netherlands B. V.
    Inventors: Zvonimir Z. Bandic, Bernhard E. Knigge, Charles Mathew Mate
  • Patent number: 7413960
    Abstract: A method of forming a floating gate electrode in a flash memory device. The method includes forming an isolation film in an inactive region so that a step with a predetermined thickness can be generated between an active region and the inactive region, which are defined in a semiconductor substrate, sequentially forming a tunnel oxide film, a polysilicon film for floating gate electrode and an anti-reflection film on the entire surface in which the isolation film is formed, and then forming photoresist patterns in predetermined regions of the anti-reflection film.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: August 19, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Heon Kim
  • Patent number: 7410901
    Abstract: A method for fabricating substrate material to include trenches and unreleased beams with submicron dimensions includes etching a first oxide layer on the substrate to define a first set of voids in the first oxide layer to expose the substrate. A second oxide layer is accreted to the first oxide layer to narrow the first set of voids to become a second set of voids on the substrate. A polysilicon layer is deposited over the second oxide layer, the first oxide layer and the substrate. A third set of voids is etched into the polysilicon layer. Further etching widens the third set of voids to define a fourth set of voids to expose the first oxide layer and the substrate. The first oxide layer and the substrate is deeply etched to define beams and trenches in the substrate.
    Type: Grant
    Filed: April 27, 2006
    Date of Patent: August 12, 2008
    Assignee: Honeywell International, Inc.
    Inventor: Jorg Pilchowski
  • Publication number: 20080188080
    Abstract: Disclosed herein is an imaging method for patterning component shapes (e.g., fins, gate electrodes, etc.) into a substrate. By conducting a trim step prior to performing either an additive or subtractive sidewall image transfer process, the method avoids the formation of a loop pattern in a hard mask and, thus, avoids a post-SIT process trim step requiring alignment of a trim mask to sub-lithographic features to form a hard mask pattern with the discrete segments. In one embodiment a hard mask is trimmed prior to conducting an additive SIT process so that a loop pattern is not formed. In another embodiment an oxide layer and memory layer that are used to form a mandrel are trimmed prior to the conducting a subtractive SIT process. A mask is then used to protect portions of the mandrel during etch back of the oxide layer so that a loop pattern is not formed.
    Type: Application
    Filed: April 4, 2008
    Publication date: August 7, 2008
    Applicant: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, David V. Horak, Charles W. Koburger, Qiqing C. Quyang
  • Publication number: 20080182421
    Abstract: A substrate processing method that can selectively remove deposit produced through dry etching of silicon. A substrate has a silicon base material and a hard mask that is made of a silicon nitride film and/or a silicon oxide film and formed on the silicon base material, the hard mask having an opening to which at least part of the silicon base material is exposed. A trench corresponding to the opening is formed in the silicon base material through dry etching using plasma produced from halogenated gas. After the dry etching, the substrate is heated to a temperature of not less than 200° C., and then hydrogen fluoride gas and helium gas are supplied toward the substrate.
    Type: Application
    Filed: January 31, 2008
    Publication date: July 31, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Eiichi NISHIMURA, Chie Kato, Akitaka Shimizu, Hiroyuki Takahashi
  • Patent number: 7405161
    Abstract: Method for fabricating a semiconductor device in which a by-product of etching is deposited on a photoresist film for using as a mask. The method for fabricating a semiconductor device includes the steps of depositing a polysilicon, and a bottom anti-refection coating on an entire surface of a substrate in succession, forming a photoresist film pattern on a predetermined portion of the bottom anti-refection coating, etching the bottom anti-refection coating by using the photoresist film pattern to deposit by-product of the etching on sidewalls of the photoresist pattern to form spacers, and etching the polysilicon by using the photoresist film pattern and the spacers, to form a line.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: July 29, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventors: Jeong Yel Jang, Kang Hyun Lee
  • Patent number: 7393792
    Abstract: A light-emitting device having a structure in which a mask used for forming a film such as an organic compound layer does not come in contact with the pixels in forming the light-emitting elements, and a method of fabricating the same. In fabricating the light-emitting device of the active matrix type, a partitioning wall constituted by a second wiring and a separation portion is formed on the interlayer-insulating film, and the pixels are surrounded by the partitioning wall, preventing the mask from coming into direct contact with the pixels, the mask being used for forming the organic compound layer and the opposing electrode of the light-emitting elements.
    Type: Grant
    Filed: February 17, 2005
    Date of Patent: July 1, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Hirokazu Yamagata
  • Patent number: 7390753
    Abstract: A novel, in-situ plasma treatment method for eliminating or reducing striations caused by standing waves in a photoresist mask, is disclosed. The method includes providing a photoresist mask on a BARC (bottom anti-reflective coating) layer that is deposited on a feature layer to be etched, etching the BARC layer and the underlying feature layer according to the pattern defined by the photoresist mask, and subjecting the photoresist mask to a typically argon or hydrogen bromide plasma before, after, or both before and after etching of the BARC layer prior to etching of the feature layer. Preferably, the photoresist mask is subjected to the plasma both before and after etching of the BARC layer.
    Type: Grant
    Filed: November 14, 2005
    Date of Patent: June 24, 2008
    Assignee: Taiwan Semiconductor Mfg. Co., Ltd.
    Inventors: Li-Te Lin, Yui Wang, Huan-Just Lin, Yuan-Hung Chiu, Hun-Jan Tao
  • Patent number: 7390745
    Abstract: A method for producing predetermined shapes in a crystalline Si-containing material that have substantially uniform straight sides or edges and well-defined inside and outside corners is provided together with the structure that is formed utilizing the method of the present invention. The inventive method utilizes conventional photolithography and etching to transfer a pattern, i.e., shape, to a crystalline Si-containing material. Since conventional processing is used, the patterns have the inherent limitations of rounded corners. A selective etching process utilizing a solution of diluted ammonium hydroxide is used to eliminate the rounded corners providing a final shape that has substantially straight sides or edges and substantially rounded corners.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: June 24, 2008
    Assignee: International Business Machines Corporation
    Inventors: Thomas W. Dyer, Kenneth T. Settlemyer, Jr., James J. Toomey, Haining Yang
  • Patent number: 7390750
    Abstract: A method is provided which includes forming a hardmask feature adjacent to a patterned sacrificial structure of a semiconductor topography, selectively removing the patterned sacrificial structure to expose a lower layer and etching exposed portions of the lower layer in alignment with the hardmask feature. In some embodiments, forming the hardmask feature may include conformably depositing a hardmask material above the patterned sacrificial structure and lower layer as well as blanket etching the hardmask material such that upper surfaces of the patterned sacrificial structure and portions of the lower layer are exposed and portions of the hardmask material remain along sidewalls of the patterned sacrificial structure. The method may be applied to produce an exemplary semiconductor topography including a plurality of gate structures each having a width less than approximately 70 nm, wherein a variation of the widths among the plurality of gate structures is less than approximately 10%.
    Type: Grant
    Filed: March 23, 2005
    Date of Patent: June 24, 2008
    Assignee: Cypress Semiconductor Corp.
    Inventors: Krishnaswamy Ramkumar, Alain P. Blosse, James A. Hunter
  • Publication number: 20080146037
    Abstract: Interconnect structures possessing a non-porous (dense) low-k organosilicate glass (OSG) film utilizing a porous low-k OSG film as an etch stop layer or a porous low-k OSG film using a non-porous OSG film as a hardmask for use in semiconductor devices are provided herein. The novel interconnect structures are capable of delivering improved device performance, functionality and reliability owing to the reduced effective dielectric constant of the stack compared with that of those conventionally employed and also because of the relatively uniform line heights made feasible by these unique and seemingly counterintuitive features.
    Type: Application
    Filed: February 21, 2008
    Publication date: June 19, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Nicholas C.M. Fuller, Timothy J. Dalton