Utilizing Multilayered Mask Patents (Class 438/717)
  • Patent number: 7759252
    Abstract: The present invention is related to a method of two-step backside-etching. First, a substrate with a plurality of hard masks is provided. Next, the back and the edge of the substrate are backside-etched to remove parts of the hard masks on the back and the edge of the substrate. Then, the hard masks and the substrate are patterned in sequence to form a plurality of trenches in the substrate. Finally, before performing a wet bath step, the edge of the substrate is backside-etched to remove needle structures on the edge of the substrate.
    Type: Grant
    Filed: July 10, 2007
    Date of Patent: July 20, 2010
    Assignee: Promos Technologies Inc.
    Inventor: Yeng-Peng Wang
  • Patent number: 7759242
    Abstract: A method of fabricating an integrated circuit, including the steps of forming a first mask layer in the form of a hard mask layer including a plurality of first openings and a second mask layer with at least one second opening which at least partially overlaps with one of the first openings, wherein the at least one second opening is generated lithographically; and at least two neighboring first openings are distanced from each other with a center to center pitch smaller than the resolution limit of the lithography used for generating the second opening.
    Type: Grant
    Filed: August 22, 2007
    Date of Patent: July 20, 2010
    Assignee: Qimonda AG
    Inventors: Steffen Meyer, Rolf Weis, Burkhard Ludwig, Christoph Noelscher
  • Patent number: 7759254
    Abstract: A method of forming an impurity-introduced layer is disclosed. The method includes at least a step of forming a resist pattern on a principal face of a solid substrate such as a silicon substrate (S27); a step of introducing impurity into the solid substrate through plasma-doping in ion mode (S23), a step of removing a resist (S28), a step of cleaning metal contamination and particles attached to a surface of the solid substrate (S25a); a step of anneal (S26). The step of removing a resist (S28) irradiates the resist with oxygen-plasma or brings mixed solution of sulfuric acid and hydrogen peroxide water, or mixed solution of NH4OH, H2O2 and H2O into contact with the resist. The step of cleaning (S25a) brings mixed solution of sulfuric acid and hydrogen peroxide water, or mixed solution of NH4OH, H2O2 and H2O into contact with the principal face of the solid substrate.
    Type: Grant
    Filed: August 25, 2004
    Date of Patent: July 20, 2010
    Assignee: Panasonic Corporation
    Inventors: Yuichiro Sasaki, Katsumi Okashita, Bunji Mizuno, Hiroyuki Ito, Cheng-Guo Jin, Hideki Tamura, Ichiro Nakayama, Tomohiro Okumura, Satoshi Maeshima
  • Patent number: 7754591
    Abstract: A method for forming a fine pattern of a semiconductor device include forming a stack structure including a 1st layer hard mask film to a nth layer hard mask film (n is an integer ranging from 2 or more) over an underlying layer formed over a semiconductor substrate. The nth layer hard mask film, the top layer, is selectively etched to obtain a first hard mask pattern of the nth layer. A second hard mask pattern of the nth layer is formed between the first hard mask patterns of the nth layer. A (n?1)th layer hard mask film is etched using the first and the second hard mask pattern of the nth layer as etching masks. The (c) step to the (d) step repeat to form the first and the second hard mask patterns of the 1st layer over the underlying layer. And, the underlying layer is etched using the first and second hard mask patterns of the 1st layer as etching masks.
    Type: Grant
    Filed: February 8, 2007
    Date of Patent: July 13, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Chang Jung
  • Patent number: 7754592
    Abstract: A method for fabricating a semiconductor device includes forming an etch target layer over a substrate including a cell region and a peripheral region, forming a first mask pattern having a first portion and a second portion over the etch target layer in the cell region and forming a second mask pattern having a first portion and a second portion over the etch target layer in the peripheral region, forming a photoresist pattern over the cell region, trimming the first portion of the second mask pattern, removing the photoresist pattern and the second portion of the first mask pattern and the second portion of the second mask pattern, and etching the etch target layer to form a pattern in the cell region and a pattern in the peripheral region.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: July 13, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jin-Ki Jung
  • Publication number: 20100173498
    Abstract: Methods of etching substrates employing a trim process for critical dimension control for integrated circuits are disclosed. In one embodiment, the method of etching includes providing a first hard mask layer over a target layer; providing a second hard mask layer over the first hard mask layer; providing a photoresist layer over the second hard mask layer; forming a pattern in the photoresist layer; transferring the pattern into the second hard mask layer; and trimming the second hard mask layer with the photoresist layer on top of the second hard mask layer. The top surface of the second hard mask layer is protected by the photoresist and the substrate is protected by the overlying first hard mask layer during the trim etch, which can therefore be aggressive.
    Type: Application
    Filed: February 2, 2010
    Publication date: July 8, 2010
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Mirzafer K. Abatchev, Krupaker Murali Subramanian, Baosuo Zhou
  • Patent number: 7749843
    Abstract: A method for fabricating a semiconductor device with a bulb-shaped recess gate pattern is provided. The method includes forming a plurality of oxide layers over a substrate; forming a silicon layer to cover the oxide layers; forming a mask over the silicon layer; etching the silicon layer using the mask as an etch mask to form a plurality of first recesses to expose the oxide layers; etching the oxide layers to form a plurality of second recesses; and forming a plurality of gate patterns at least partially buried into the first recesses and the second recesses.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: July 6, 2010
    Assignee: Hynix Semiconductor, Inc.
    Inventor: Jun-Hee Cho
  • Patent number: 7749915
    Abstract: A method of protecting a polymeric layer from contamination by a photoresist layer. The method includes: (a) forming a polymeric layer over a substrate; (b) forming a non-photoactive protection layer over the polymeric layer; (c) forming a photoresist layer over the protection layer; (d) exposing the photoresist layer to actinic radiation and developing the photoresist layer to form a patterned photoresist layer, thereby exposing regions of the protection layer; (e) etching through the protection layer and the polymeric layer where the protection layer is not protected by the patterned photoresist layer; (f) removing the patterned photoresist layer in a first removal process; and (g) removing the protection layer in a second removal process different from the first removal process.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: July 6, 2010
    Assignee: International Business Machines Corporation
    Inventors: Ute Drechsler, Urs T. Duerig, Jane Elizabeth Frommer, Bernd W. Gotsmann, James Lupton Hedrick, Armin W. Knoll, Tobias Kraus, Robert Dennis Miller
  • Patent number: 7749878
    Abstract: Embodiments relate to a method for manufacturing a semiconductor device that may be capable of obtaining a stable device characteristic by securing an optimal CD of a gate. In embodiments, a method may include forming a gate oxide layer on a semiconductor substrate, forming a photoresist pattern at a first region of an upper portion of the gate oxide layer, forming an insulating layer on the substrate of a second region except for the photoresist pattern, removing the photoresist pattern after a formation of the insulating layer, forming a polysilicon on the substrate from which the photoresist pattern is removed, planarizing the polysilicon to expose the insulating layer in order to form a gate, forming sidewalls at both sides of the gate: and implanting ions in a resulting object using the sidewalls as a mask to form source/drain.
    Type: Grant
    Filed: December 21, 2006
    Date of Patent: July 6, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Eui Kyu Ryou
  • Patent number: 7749902
    Abstract: Provided is a method of manufacturing a semiconductor device using double patterning. The method includes: forming a first material layer pattern having recesses in a first direction on an object layer and a second material layer pattern formed on the first material layer pattern; selectively etching the second material layer pattern and the first material layer pattern in a direction perpendicular to the first direction to form an etching mask; and etching the object layer to form minute patterns.
    Type: Grant
    Filed: June 5, 2007
    Date of Patent: July 6, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyun-chul Kim, Sung-il Cho, Jae-seung Hwang, Jun Sen, Yong-hyun Kwon
  • Patent number: 7749903
    Abstract: A method for self-aligned gate patterning is disclosed. Two masks are used to process adjacent semiconductor components, such as an nFET and pFET that are separated by a shallow trench isolation region. The mask materials are chosen to facilitate selective etching. The second mask is applied while the first mask is still present, thereby causing the second mask to self align to the first mask. This avoids the undesirable formation of a stringer over the shallow trench isolation region, thereby improving the yield of a semiconductor manufacturing operation.
    Type: Grant
    Filed: February 7, 2008
    Date of Patent: July 6, 2010
    Assignee: International Business Machines Corporation
    Inventors: Scott D. Halle, Matthew E. Colburn, Bruce B. Doris, Thomas W. Dyer
  • Patent number: 7741203
    Abstract: A method of forming a gate pattern of a flash memory device may include forming a tunnel dielectric layer, a conductive layer for a floating gate, a dielectric layer, a conductive layer for a control gate, a metal electrode layer, and a hard mask film over a semiconductor substrate. The metal electrode layer may be etched such that a positive slope of an upper sidewall may be formed larger than a positive slope of a lower sidewall of the metal electrode layer. The conductive layer for the control gate, the dielectric layer, and the conductive layer for the floating gate may then be etched. High molecular weight argon gas, for example, may be used to improve an anisotropic etch characteristic of plasma. Over etch of a metal electrode layer may be decreased to reduce a bowing profile. Resistance of word lines can be decreased and electrical properties can be improved.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: June 22, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: In No Lee
  • Patent number: 7732340
    Abstract: A method for adjusting the lateral critical dimension (i.e., length and width) of a feature formed in a layer on a substrate using a dry etching process. One or more thin intermediate sub-layers are inserted in the layer within which the feature is to be formed. Once an intermediate sub-layer is reached during the etching process, an etch process is performed to correct and/or adjust the lateral critical dimensions before etching through the intermediate sub-layer and continuing the layer etch.
    Type: Grant
    Filed: August 8, 2006
    Date of Patent: June 8, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Toshifumi Nagaiwa, Junichi Sasaki, Stefan Sawusch
  • Patent number: 7732341
    Abstract: A method of forming hard mask employs a double patterning technique. A first hard mask layer is formed on a substrate, and a first sacrificial pattern is formed on the first hard mask layer by photolithography. Features of the first sacrificial pattern are spaced from one another by a first pitch. A second hard mask layer is then formed conformally on the first sacrificial pattern and the first hard mask layer so as to delimit recesses between adjacent features of the first sacrificial pattern. Upper portions of the second hard mask layer are removed to expose the first sacrificial pattern, and the exposed first sacrificial pattern and the second sacrificial pattern are removed. The second hard mask layer and the first hard mask layer are then etched to form a hard mask composed of residual portions of the first hard mask layer and the second hard mask layer.
    Type: Grant
    Filed: March 23, 2007
    Date of Patent: June 8, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Cha-won Koh, Han-ku Cho, Jeong-lim Nam, Gi-sung Yeo, Joon-soo Park, Ji-young Lee
  • Patent number: 7727837
    Abstract: A method of forming an integrated circuit having a capacitor is disclosed. In one embodiment, the method includes forming a capacitor element with a first electrode, a dielectric layer and a second electrode. The capacitor element is formed using a support layer.
    Type: Grant
    Filed: January 31, 2007
    Date of Patent: June 1, 2010
    Assignee: Qimonda AG
    Inventors: Ulrike Gruening-von Schwerin, Rolf Weis, Wolfgang Henke, Odo Wunnicke, Till Schloesser, Florian Schnabel, Wolfgang Mueller
  • Publication number: 20100130019
    Abstract: A manufacturing method for a semiconductor device includes: forming a first layer on a member to be etched; forming a first hard mask that includes a first hard mask pattern, in the first layer; forming a second layer on the first hard mask and on an exposed surface of the member to be etched; removing by selective etching the second layer to form a side wall core that includes a core pattern; forming side wall spacers on side walls of the side wall core; and using the side wall spacers and the first hard mask to remove by etching the member to be etched.
    Type: Application
    Filed: August 25, 2009
    Publication date: May 27, 2010
    Applicant: ELPIDA MEMORY INC.
    Inventor: MASAHIKO OHUCHI
  • Patent number: 7718539
    Abstract: Methods for forming a photomask using a carbon hard mask are provided. In one embodiment, a method of forming a photomask includes etching a chromium layer through a patterned carbon hard mask layer in the presence of a plasma formed from a process gas containing chlorine and carbon monoxide.
    Type: Grant
    Filed: November 30, 2006
    Date of Patent: May 18, 2010
    Assignee: Applied Materials, Inc.
    Inventor: Ajay Kumar
  • Patent number: 7718540
    Abstract: Differently-sized features of an integrated circuit are formed by etching a substrate using a mask which is formed by combining two separately formed patterns. Pitch multiplication is used to form the relatively small features of the first pattern and conventional photolithography used to form the relatively large features of the second pattern. Pitch multiplication is accomplished by patterning a photoresist and then etching that pattern into an amorphous carbon layer. Sidewall spacers are then formed on the sidewalls of the amorphous carbon. The amorphous carbon is removed, leaving behind the sidewall spacers, which define the first mask pattern. A bottom anti-reflective coating (BARC) is then deposited around the spacers to form a planar surface and a photoresist layer is formed over the BARC. The photoresist is next patterned by conventional photolithography to form the second pattern, which is then is transferred to the BARC.
    Type: Grant
    Filed: February 1, 2007
    Date of Patent: May 18, 2010
    Assignee: Round Rock Research, LLC
    Inventors: Luan Tran, William T Rericha, John Lee, Ramakanth Alapati, Sheron Honarkhah, Shuang Meng, Puneet Sharma, Jingyi Bai, Zhiping Yin, Paul Morgan, Mirzafer K Abatchev, Gurtej S Sandhu, D. Mark Durcan
  • Patent number: 7718530
    Abstract: A method for manufacturing a semiconductor device includes forming a gate conductive layer, a first mask layer, a second mask layer, and a third mask layer over a semiconductor substrate that includes a cell region and a peripheral region. The method also includes forming a second mask pattern and a third mask pattern using a gate mask. The method further includes trimming the second mask pattern in the peripheral region to form a fourth mask pattern having a size smaller than that of the second mask pattern. Still further, the method includes removing the third mask pattern, and patterning the first mask layer and the gate conductive layer using the fourth mask pattern as a mask.
    Type: Grant
    Filed: December 3, 2007
    Date of Patent: May 18, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Hyun Sook Jun, Ki Lyoung Lee
  • Patent number: 7713818
    Abstract: A method of making a device includes forming a first photoresist layer over an underlying layer, patterning the first photoresist layer to form a first photoresist pattern, rendering the first photoresist pattern insoluble to a solvent, forming a second photoresist layer over the first photoresist pattern, patterning the second photoresist layer to form a second photoresist pattern over the underlying layer, and etching the underlying layer using both the first and the second photoresist patterns as a mask.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: May 11, 2010
    Assignee: SanDisk 3D, LLC
    Inventor: Michael Chan
  • Patent number: 7709396
    Abstract: Embodiments of the present invention pertain to methods of forming patterned features on a substrate having an increased density (i.e. reduced pitch) as compared to what is possible using standard photolithography processing techniques using a single high-resolution photomask while also allowing both the width of the patterned features and spacing (trench width) between the patterned features to vary within an integrated circuit.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: May 4, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Christopher Dennis Bencher, Jing Tang
  • Patent number: 7709395
    Abstract: According to an aspect of the invention, there is provided a semiconductor device fabrication method including forming a first mask on a semiconductor substrate, processing the first mask to form a first mask pattern of a fine portion, forming a second mask on the semiconductor substrate on which the first mask pattern is formed, forming a second mask pattern on a predetermined portion of the second mask, forming a third mask pattern by anisotropically etching the second mask by using the second mask pattern, removing the second mask pattern and the first mask pattern, and processing the semiconductor substrate by using the third mask pattern.
    Type: Grant
    Filed: April 27, 2006
    Date of Patent: May 4, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Tomohiro Saito
  • Publication number: 20100105213
    Abstract: An amorphous carbon film forming method is performed by using a parallel plate type plasma CVD apparatus in which an upper electrode and a lower electrode are installed within a processing chamber, and the method includes: disposing a substrate on the lower electrode; supplying carbon monoxide and an inert gas into the processing chamber; decomposing the carbon monoxide by applying a high frequency power to at least the upper electrode and generating plasma; and depositing amorphous carbon on the substrate. It is desirable that the upper electrode is a carbon electrode.
    Type: Application
    Filed: February 21, 2008
    Publication date: April 29, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hiraku Ishikawa, Tadakazu Murai, Eisuke Morisaki
  • Patent number: 7700495
    Abstract: The present invention relates to a thin film transistor device formed on an insulating substrate of a liquid crystal display device and others, a method of manufacturing the same, and a liquid crystal display device. In structure, there are provided the steps of forming a negative photoresist film on a first insulating film for covering a first island-like semiconductor film, forming a resist mask that has an opening portion in an inner region with respect to a periphery of the first island-like semiconductor film by exposing/developing the negative photoresist film from a back surface side of a transparent substrate, etching the first insulating film in the opening portion of the resist mask, forming a second insulating film for covering the first insulating film and a conductive film thereon, and forming a first gate electrode and a second gate electrode by patterning the conductive film.
    Type: Grant
    Filed: February 11, 2008
    Date of Patent: April 20, 2010
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Seiji Doi, Kazushige Hotta, Takuya Hirano, Kenichi Yanai
  • Patent number: 7687405
    Abstract: A method for patterning and forming very small structures on a substrate such as a wafer. The process uses a difference in surface energy between a mask and the substrate to selectively deposit a hard mask material such as a metal onto the surface of the substrate. The mask can be formed extremely thin, such as only an atomic mono-layer thick, and can be patterned by ion beam photolithography. The pattern can, therefore, be formed with extremely high resolution. The thin mask layer can be constructed of various materials and can be constructed of perfluoropolyether diacrylate (PDA), which can be dip coated to and exposed to form a desirable positive photoresist mask layer.
    Type: Grant
    Filed: July 22, 2008
    Date of Patent: March 30, 2010
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Zvonimir Z. Bandic, Bernhard E. Knigge, Charles Mathew Mate
  • Patent number: 7682761
    Abstract: A method of fabricating a grayscale mask includes preparing a quartz wafer; depositing a layer of Si3N4 on the quartz wafer; depositing a layer of titanium/TEOS directly on the Si3N4 layer on the backside of the quartz wafer; removing the layer of Si3N4 from the front side of the quartz wafer; depositing a layer of SRO directly on the front side of the quartz wafer; patterning a microlens array on the SRO layer; etching the SRO layer to form a microlens array in the SRO layer; depositing a layer of titanium; patterning and etching the titanium layer; depositing a layer of SiOxNy on the SRO microlens array; CMP to planarize the layer of SiOxNy removing the titanium/TEOS layer from the backside of the quartz wafer; bonding the planarized SiOxNy to a quartz reticle plate; and etching to remove Si3N4 from the bonded structure to form a grayscale mask reticle.
    Type: Grant
    Filed: February 20, 2007
    Date of Patent: March 23, 2010
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Wei Gao, Bruce D. Ulrich, Yoshi Ono
  • Patent number: 7682984
    Abstract: A photomask etch chamber, which includes a substrate support member disposed inside the chamber. The substrate support member is configured to support a photomask substrate. The chamber further includes a ceiling disposed on the chamber and an endpoint detection system configured to detect a peripheral region of the photomask substrate.
    Type: Grant
    Filed: September 13, 2006
    Date of Patent: March 23, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Khiem K. Nguyen, Peter Satitpunwaycha, Alfred W. Mak
  • Patent number: 7678512
    Abstract: A method of fabricating a grayscale reticle includes preparing a quartz wafer substrate; depositing a layer of SRO on the top surface of the quartz substrate; patterning and etching the SRO to form an initial microlens pattern using step-over lithography; patterning and etching the SRO to form a recessed pattern in the SRO; depositing an opaque film on the SRO; patterning and etching the opaque film; depositing and planarizing a planarizing layer; cutting the quartz wafer into rectangular pieces sized to be smaller than a selected blank reticle; bonding the a piece a to selected reticle blank to form a grayscale reticle; and using the grayscale reticle to form a microlens array on a photoimager.
    Type: Grant
    Filed: January 24, 2007
    Date of Patent: March 16, 2010
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Yoshi Ono, Bruce D. Ulrich, Wei Gao
  • Patent number: 7666794
    Abstract: A method of double patterning a semiconductor structure with a single material which after patterning becomes a permanent part of the semiconductor structure. More specifically, a method to form a patterned semiconductor structure with small features is provided which are difficult to obtain using conventional exposure lithographic processes. The method of the present invention includes the use of patternable low-k dielectric materials which after patterning remain as a low k dielectric material within the semiconductor structure. The method is useful in forming semiconductor interconnect structures in which the patternable low k dielectric materials after patterning and curing become a permanent element, e.g., a patterned interlayer low k dielectric material, of the interconnect structure.
    Type: Grant
    Filed: February 12, 2008
    Date of Patent: February 23, 2010
    Assignee: International Business Machines Corporation
    Inventor: Qinghuang Lin
  • Patent number: 7662721
    Abstract: A hard mask layer stack for patterning a layer to be patterned includes a carbon layer disposed on top of the layer to be patterned, a first layer of a material selected from the group of SiO2 and SiON disposed on top of the carbon layer and a silicon layer disposed on top of the first layer. A method of patterning a layer to be patterned includes providing the above described hard mask layer stack on the layer to be patterned and patterning the silicon hard mask layer in accordance with a pattern to be formed in the layer that has to be patterned.
    Type: Grant
    Filed: March 15, 2006
    Date of Patent: February 16, 2010
    Assignee: Infineon Technologies AG
    Inventors: Dirk Manger, Hocine Boubekeur, Martin Verhoeven, Nicolas Nagel, Thomas Tatry, Dirk Caspary, Matthias Markert
  • Patent number: 7655573
    Abstract: A method of forming a mask pattern and, more particularly, a method of forming a mask pattern wherein micro patterns having resolutions lower than those of exposure equipment by overcoming the resolutions of the exposure equipment, wherein, a silicon layer is formed over a substrate and is patterned. The patterned silicon layer is oxidized to form the entire surface of the silicon layer to a specific thickness by using an oxide layer. The oxide layer is removed to expose a top surface of the silicon layer. A mask pattern is formed with the remaining oxide layer by removing the silicon layer.
    Type: Grant
    Filed: May 22, 2007
    Date of Patent: February 2, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sung Hoon Lee
  • Patent number: 7655568
    Abstract: Disclosed herein is a method for manufacturing a semiconductor device that includes performing an O2 plasma treatment step after forming a Si-containing photoresist film.
    Type: Grant
    Filed: August 29, 2006
    Date of Patent: February 2, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung Koo Lee, Jae Chang Jung
  • Patent number: 7651947
    Abstract: Methods of forming a mask for implanting a substrate and implanting using an implant stopping layer with a photoresist provide lower aspect ratio masks that cause minimal damage to trench isolations in the substrate during removal of the mask. In one embodiment, a method of forming a mask includes: depositing an implant stopping layer over the substrate; depositing a photoresist over the implant stopping layer, the implant stopping layer having a density greater than the photoresist; forming a pattern in the photoresist by removing a portion of the photoresist to expose the implant stopping layer; and transferring the pattern into the implant stopping layer by etching to form the mask. The implant stopping layer may include: hydrogenated germanium carbide, nitrogenated germanium carbide, fluorinated germanium carbide, and/or amorphous germanium carbon hydride (GeHX), where X includes carbon. The methods/mask reduce scattering during implanting because the mask has higher density than conventional masks.
    Type: Grant
    Filed: May 25, 2006
    Date of Patent: January 26, 2010
    Assignee: International Business Machines Corporation
    Inventors: Katherina Babich, Todd C. Bailey, Richard A. Conti, Ryan P. Deschner
  • Patent number: 7651950
    Abstract: In a method for forming a fine pattern of a semiconductor device, forming a spacer for double patterning of a cell region is performed separate from forming a mask pattern that defines a dummy pattern for a pad of a peripheral circuit region.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: January 26, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Keun Do Ban
  • Patent number: 7645667
    Abstract: System and method for self-aligned etching. According to an embodiment, the present invention provides a method for performing self-aligned source etching process. The method includes a step for providing a substrate material. The method also includes a step for forming a layer of etchable oxide material overlying at least a portion of the substrate material. The layer of etchable oxide material can characterized by a first thickness. The layer of etchable oxide material includes a first portion, a second portion, and a third portion. The second portion is positioned between the first portion and the third portion. The method additionally includes a step for forming a plurality of structures overlying the layer of etchable oxide material. The plurality of structures includes a first structure and a second structure.
    Type: Grant
    Filed: December 15, 2006
    Date of Patent: January 12, 2010
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Zhongshan Hong, Xue Li
  • Patent number: 7645660
    Abstract: A method for protecting a circuit component on a semiconductor substrate from a plasma etching or other removal process includes forming a screening layer over an auxiliary layer to conceal at least an area of the auxiliary layer that overlays at least a portion of the circuit component, such as for example a high-ohmic poly resistor. The method transfers a pattern defined by a mask onto the screening layer by selectively removing portions of the screening layer in accordance with the pattern. Portions of the auxiliary layer that are not protected by the screening layer are removed using a plasma gas selective to the auxiliary layer material, without removing the area of the auxiliary layer that overlays the portion of the circuit component, thereby protecting the circuit component from the plasma gas via the screening layer and auxiliary layer.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: January 12, 2010
    Assignees: STMicroelectronics, Inc., STMicroelectronics SA
    Inventors: Olivier Le Neel, Olivier Girard, Fabio Ferrari
  • Patent number: 7642145
    Abstract: There is a problem in that when the demand accuracy with respect to a semiconductor pattern dimension comes close to a resist molecule size with miniaturization, the device performance is deteriorated due to edge roughness of a resist pattern to exert a bad influence on the system performance. The present invention overcomes the problem by the procedure in which super-molecules which are small in dimension as compared with the conventional polymers are used as main components, the reaction number required for the change of molecule solubility is made constant and as large as possible, and an acid generator is made clathrate or combinatory n super molecules to make an acid catalyst concentration large. As a result, it is possible to form a pattern of molecular accuracy with high productivity even with respect to the pattern dimension less than 50 nm, thereby realizing the high performance system.
    Type: Grant
    Filed: July 30, 2002
    Date of Patent: January 5, 2010
    Assignee: Hitachi, Ltd.
    Inventors: Hiroshi Fukuda, Yoshiyuki Yokoyama, Takashi Hattori, Toshio Sakamizu, Tadashi Arai, Hiroshi Shiraishi
  • Patent number: 7635649
    Abstract: A method for manufacturing a semiconductor device is provided. The method includes forming a polysilicon layer on a semiconductor substrate, forming an anti-reflection coating on the polysilicon layer, forming a photoresist (PR) layer pattern on the anti-reflection coating, etching the anti-reflection coating using the PR layer pattern as a mask in capacitive coupled plasma (CCP) equipment using CF4, Ar, and O2, so as to cause a reaction by-product generated by etching the anti-reflect coating to be deposited on sidewalls of the PR layer pattern, thereby forming spacers, and etching the polysilicon layer using the PR layer pattern and the spacers as a mask.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: December 22, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jeong Yel Jang
  • Patent number: 7629260
    Abstract: Provided herein are hardmask compositions that include an organosilane polymer prepared by the reaction of one or more compounds of Formula (I) Si(OR1)(OR2)(OR3)R4 wherein R1, R2 and R3 may each independently be alkyl acetoxy or oxime; and R4 may be hydrogen, alkyl, aryl or arylalkyl; and wherein the organosilane polymer has a polydispersity in a range of about 1.1 to about 2.
    Type: Grant
    Filed: December 8, 2006
    Date of Patent: December 8, 2009
    Assignee: Cheil Industries, Inc.
    Inventors: Dong Seon Uh, Hui Chan Yun, Jin Kuk Lee, Chang Il Oh, Jong Seob Kim, Sang-Kyun Kim, Sang Hak Lim
  • Patent number: 7629259
    Abstract: A method for aligning a reticle is provided. A first patterned layer with a first alignment grid is formed. Sidewall layers are formed over the first patterned layer to perform a first shrink. The first alignment grid after shrink is etched into an etch layer to form an etched first alignment grid. The patterned layer is removed. An optical pattern of a second alignment grid aligned over the etched first alignment grid is measured. The optical pattern is used to determine whether the second alignment grid is aligned over the etched first alignment grid.
    Type: Grant
    Filed: June 21, 2005
    Date of Patent: December 8, 2009
    Assignee: Lam Research Corporation
    Inventor: S. M. Reza Sadjadi
  • Patent number: 7625822
    Abstract: A method for manufacturing a semiconductor device deposits a plurality of bottom antireflective coating films to prevent a standing wave caused by a light source of a short wavelength in forming a fine pattern. The method includes forming a pattern formation layer on an entire surface of a wafer, forming two or more bottom antireflective coating films on the pattern formation layer, forming a photoresist film pattern on a predetermined region of the bottom antireflective coating films, etching the bottom antireflective coating films using the photoresist film pattern as a mask, forming sidewall spacers at sides of the photoresist film pattern, and etching the pattern formation layer using the sidewall spacers and the photoresist film pattern as masks.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: December 1, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jae Hyun Kang
  • Patent number: 7622340
    Abstract: A method for manufacturing a semiconductor device includes doping a surface of a silicon-containing dielectric film with nitrogen to change an etching rate of the silicon-containing dielectric film relative to a predetermined solution such that the etching rate is lower at a surface portion doped with nitrogen than at a portion therebelow. The method subsequently includes patterning the silicon-containing dielectric film by a first etching process to form an etching mask, subsequently to the first etching process, removing etching residues of the silicon-containing dielectric film by a second etching process including wet etching using the predetermined solution, and subsequently to the second etching process, patterning an etching target film by a third etching process using the etching mask.
    Type: Grant
    Filed: September 20, 2006
    Date of Patent: November 24, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Yasushi Akasaka, Genji Nakamura
  • Patent number: 7618894
    Abstract: Multi-step selective etching. Etching an unmasked region associated with each layer of a plurality of layers, the plurality of layers comprising a stack, wherein the unmasked region of each of the plurality of layers is etched while exposed to a temperature, a pressure, a vacuum, using a plurality of etchants, wherein at least one of the plurality of etchants comprises an inert gas and oxygen, wherein the etchant oxidizes the at least one layer that can be oxidized such that the etching stops, the plurality of etchants leaving substantially unaffected a masked region associated with each layer of the plurality of layers, wherein two or more of the plurality of layers comprises a memory stack, and preventing corrosion of at least one of the plurality of layers comprising a conductive metal oxide by supplying oxygen to the stack after etching the unmasked region without breaking the vacuum.
    Type: Grant
    Filed: July 26, 2007
    Date of Patent: November 17, 2009
    Inventors: Jonathan Bornstein, Travis Byonghyop
  • Patent number: 7611994
    Abstract: An insulation film is formed on a semiconductor substrate. A stopper film, which has a large etching selectivity relative to the insulation film and has a first film thickness, is formed on the insulation film. A first mask material, which has a second film thickness that is less than the first film thickness, is formed on the stopper film. A first mask is formed by patterning the first mask material. An opening portion is formed by etching the stopper film using the first mask. The opening portion is filled with a second mask material. A second mask of the second mask material is formed by removing the stopper film. The insulation film is etched using the second mask.
    Type: Grant
    Filed: March 31, 2006
    Date of Patent: November 3, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kazutaka Akiyama
  • Publication number: 20090269935
    Abstract: A method of forming patterns of a semiconductor device, wherein a hard mask is formed over a semiconductor substrate; a photoresist comprising silicon-containing molecules is formed over the hard mask; a first exposure process is performed on first regions of the photoresist; a second exposure process is performed on second regions of the photoresist, which are located between the first regions; a bake process is performed on the photoresist; and, an etch process using the first regions and the second regions as etch mask patterns is performed, thereby patterning the photoresist and the hard mask.
    Type: Application
    Filed: June 27, 2008
    Publication date: October 29, 2009
    Applicant: HYNIX SEMICONDUCTOR, INC.
    Inventor: CHUL CHAN CHOI
  • Patent number: 7601647
    Abstract: A method of forming fine patterns of a semiconductor device includes double etching by changing a quantity of producing polymer by-products to etch a film with different thicknesses in regions having different pattern densities. In a first etching, reactive ion etching (RIE) is performed upon a buffer layer and a hardmask layer both in a low-density pattern region and a high-density pattern region under a first etching ambient until an etch film is exposed in the low-density pattern region using mask patterns as an etch mask. In second etching for forming the hardmask patterns, using the mask patterns as an etch mask, the hardmask layer is etched until the etch film is exposed in the high-density pattern region while accumulating polymer by-products on the etch film in the low-density pattern region under a second etching ambient having polymer by-products produced greater than in the first etching ambient.
    Type: Grant
    Filed: June 5, 2007
    Date of Patent: October 13, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyung-yub Jeon, Myeong-cheol Kim, Hak-sun Lee
  • Patent number: 7592262
    Abstract: A method for manufacturing MOS transistor with hybrid hard mask includes providing a substrate having a dielectric layer and a polysilicon layer thereon, forming a hybrid hard mask having a middle hard mask and a spacer hard mask covering sidewalls of the middle hard mask on the polysilicon layer, performing a first etching process to etch the polysilicon layer and the dielectric layer through the hybrid hard mask to form a gate structure, performing a second etching process to form recesses in the substrate at two sides of the gate structure, and performing a SEG process to form epitaxial silicon layers in each recess.
    Type: Grant
    Filed: March 21, 2007
    Date of Patent: September 22, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Hui-Ling Huang, Ming-Shing Chen, Nien-Chung Li, Li-Shiun Chen, Hsin Tai
  • Patent number: 7585775
    Abstract: A method is disclosed for applying a plasma etch process to facet a masking layer in a semiconductor device by creating sloped surfaces in the masking layer. The masking layer is plasma etched with a plasma that has a high sputter etch component. The plasma etch process removes material from vertical edges of the masking layer to form a sloped surface at each vertical edge of the masking layer. A layer of conductive material is then applied to the masking layer. When the layer of conductive material is subsequently removed by an overetch process the sloped profile of the masking layer facilitates the removal of stringers of conductive material without using an excessively lengthy overetch.
    Type: Grant
    Filed: November 21, 2005
    Date of Patent: September 8, 2009
    Assignee: National Semiconductor Corporation
    Inventors: Thomas Bold, Victor Torres, Rodney Hill
  • Patent number: 7582567
    Abstract: A method for forming CMOS devices on a semiconductor substrate is disclosed in which gate structures are formed within both the core region and the non-core region of the semiconductor substrate. The gate structures include a gate dielectric layer and a gate film stack that includes a conductive layer and an overlying hard mask. The hard mask is then removed from the gate structures in the non-core region. A salicide process is then performed so as to form a silicide layer in the non-core region. A barrier layer is formed that extends over the core region and a pre-metal dielectric film is formed that extends over the barrier layer. A selective etch process is performed so as to form self-aligned contact openings that extend through the pre-metal dielectric film and through the barrier layer in the core region. These openings are then filled with conductive material to form self-aligned contacts in the core region.
    Type: Grant
    Filed: June 15, 2006
    Date of Patent: September 1, 2009
    Assignee: Integrated Device Technology, Inc.
    Inventors: Tsengyou Syau, Shih-Ked Lee, Chuen-Der Lien
  • Patent number: 7576010
    Abstract: A method of forming a first hard mask pattern including a plurality of first line patterns formed on the etch target layer in a first direction and having a first pitch. A third layer is formed on sidewalls and an upper surface of the first hard mask pattern, such that the third layer includes a top surface having a recess formed between two adjacent first line patterns. A second hard mask pattern including a plurality of second line patterns each extending in the first direction within the recess is formed. Then, the third layer is anisotropically etched to selectively expose an etch target layer between the first line patterns and the second line patterns. Then, the etch target layer is anisotropically etched using the first hard mask pattern and the second hard mask pattern as an etch mask.
    Type: Grant
    Filed: January 30, 2007
    Date of Patent: August 18, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-young Lee, Hak-sun Lee, Myeong-cheol Kim, Kyung-yub Jeon