Silicon Oxide Or Glass Patents (Class 438/723)
  • Patent number: 7547621
    Abstract: A gate hard mask is deposited on a gate structure using low pressure chemical vapor deposition (LPCVD). By doing so, the wet etch removal ratio (WERR) of the gate hard mask relative to the underlying polysilicon gate layer is increased when compared to prior art hard masks. The LPCVD gate hard mask will not only etch faster than prior art hard masks, but it will also reduce undercutting of the gate oxide. To provide additional control of the wet etch rate, the LPCVD hard mask can be annealed. The annealing can be tailored to achieve the desired etching rate.
    Type: Grant
    Filed: July 25, 2006
    Date of Patent: June 16, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Rajesh Kanuri, Chorng-Ping Chang, Christopher Dennis Bencher, Hoiman Hung
  • Patent number: 7547636
    Abstract: A method for selectively etching an ultra high aspect ratio feature dielectric layer through a carbon based mask in an etch chamber is provided. A flow of an etch gas is provided, comprising a fluorocarbon containing molecule and an oxygen containing molecule to the etch chamber. A pulsed bias RF signal is provided. An energizing RF signal is provided to transform the etch gas to a plasma.
    Type: Grant
    Filed: February 5, 2007
    Date of Patent: June 16, 2009
    Assignee: Lam Research Corporation
    Inventors: Kyeong-Koo Chi, Erik A. Edelberg
  • Patent number: 7541292
    Abstract: A plasma etch process for etching high aspect ratio openings in a dielectric film on a workpiece is carried out in a reactor having a ceiling electrode overlying the workpiece and an electrostatic chuck supporting the workpiece. The process includes injecting a first polymerizing etch process gas through a radially inward one of plural concentric gas injection zones in the ceiling electrode and injecting a second polymerizing etch process gas through a radially outward one of the plural concentric gas injection zones in the ceiling electrode, the compositions of the first and second process gases having first and second carbon-to-fluorine ratios that differ from one another.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: June 2, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Kallol Bera, Xiaoye Zhao, Kenny L. Doan, Ezra Robert Gold, Paul Lukas Brillhart, Bruno Geoffrion, Bryan Pu, Daniel J. Hoffman
  • Patent number: 7541286
    Abstract: A semiconductor device manufacturing method using a KrF light source is disclosed. Embodiments relate to a method for manufacturing a semiconductor device including forming an oxide film over a semiconductor substrate. A gate conductor may be formed over the oxide film. An antireflective film may be formed over the gate conductor. A photoresist film may be formed over the antireflective film. The photoresist film may be photo-etched, thereby forming a first photoresist film pattern having a first line width. The antireflective film may be etched, using the first photoresist film pattern as a mask, thereby forming an antireflective film pattern. The first photoresist film pattern may be simultaneously laterally etched, thereby forming a second photoresist film pattern having a second line width corresponding to a final design value for the gate conductor.
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: June 2, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Chang-Myung Lee
  • Patent number: 7541290
    Abstract: Methods of forming integrated circuit devices include steps to selectively widen portions of a mask pattern extending adjacent an outer edge of a semiconductor wafer. These steps to selectively widen portions of the mask pattern are performed so that more uniform center-to-edge critical dimensions (CD) can be achieved when the mask pattern is used to support photolithographically patterning of underlying layers (e.g., insulating layers, antireflective coatings, etc.).
    Type: Grant
    Filed: March 8, 2007
    Date of Patent: June 2, 2009
    Assignees: Samsung Electronics Co., Ltd., International Business Machines Corporation, Infineon Technologies AG, Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Chong Kwang Chang, Wan Jae Park, Len Yuan Tsou, Haoren Zhuang, Matthias Lipinsky, Shailendra Mishra
  • Patent number: 7517804
    Abstract: An interlevel dielectric layer, such as a silicon oxide layer, is selectively etched using a plasma etch chemistry including a silicon species and a halide species and also preferably a carbon species and an oxygen species. The silicon species can be generated from a silicon compound, such as SixMyHz, where “Si” is silicon, “M” is one or more halogens, “H” is hydrogen and x?1, y?0 and z?0. The carbon species can be generated from a carbon compound, such as C?M?H?, where “C” is carbon, “M” is one or more halogens, “H” is hydrogen, and ??1, ??0 and ??0. The oxygen species can be generated from an oxygen compound, such as O2, which can react with carbon to form a volatile compound.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: April 14, 2009
    Assignee: Micron Technologies, Inc.
    Inventors: Mark Kiehlbauch, Ted Taylor
  • Patent number: 7517710
    Abstract: A method of manufacturing a field emission device (FED), which reduces the number of photomask patterning processes and improves the manufacturing yield of the FED, is provided.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: April 14, 2009
    Assignee: Samsung SDI Co., Ltd.
    Inventors: Jun-Hee Choi, Ho-Suk Kang, Chan-Wook Baik, Ha-Jong Kim
  • Patent number: 7514365
    Abstract: A method of fabricating an opening or plug. In the process of forming the opening, before a photoresist layer is formed over a dielectric layer, a treatment process is performed to form a film on the dielectric layer, wherein the film can suppress the outgasing phenomenon of the dielectric layer and prevent the later formed photoresist layer from reacting with the running-off composition component from the dielectric layer. Therefore, the problem of incomplete development due to outgasing of the dielectric layer can be solved. Additionally, in the procedure for forming a plug, before a block layer is forming on a surface of a via, a treatment process is performed to form a film on the surface of the via. Therefore, the problem of having defects inside the block layer caused by outgasing of the dielectric layer can be overcome.
    Type: Grant
    Filed: November 16, 2005
    Date of Patent: April 7, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Yi-Fang Cheng, Chopin Chou
  • Patent number: 7514282
    Abstract: An array of submicron silicon (Si) tubes is provided with a method for patterning submicron Si tubes. The method provides a Si substrate, and forms a silicon dioxide film overlying the Si substrate. An array of silicon dioxide rods is formed from the silicon dioxide film, and Si3N4 tubes are formed surrounding the silicon dioxide rods. The silicon dioxide rods are etched away. Then, exposed regions of the Si substrate are etched, forming Si tubes underlying the Si3N4 tubes. Finally, the Si3N4 tubes are removed.
    Type: Grant
    Filed: January 4, 2007
    Date of Patent: April 7, 2009
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Tingkai Li, Jong-Jan Lee, Jer-Shen Maa, Sheng Teng Hsu
  • Patent number: 7514277
    Abstract: An etching method capable of controlling the film thickness of a hard mask layer uniformly is provided. A plasma etching is performed on a native oxide film by using an etching gas containing, for example, CF4 and Ar while a thickness of a silicon nitride film is being monitored and the etching is finished when the thickness of the silicon nitride film reaches a predetermined value. Then, a plasma etching is performed on a silicon substrate by employing an etching gas containing, for example, Cl2, HBr and Ar and using the silicon nitride film as a mask while a depth of a trench is being monitored and the etching is finished when the depth of the trench reaches a specified value.
    Type: Grant
    Filed: September 14, 2005
    Date of Patent: April 7, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Susumu Saito, Akitaka Shimizu
  • Patent number: 7510972
    Abstract: A method of processing a substrate which enables a surface damaged layer and polishing remnants on the surface of an insulating film to be removed, and enable the amount removed of the surface damaged layer and polishing remnants to be controlled easily. An insulating film on a substrate, which has been revealed by chemical mechanical polishing, is exposed to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride under a predetermined pressure. The insulating film which has been exposed to the atmosphere of the mixed gas is heated to a predetermined temperature.
    Type: Grant
    Filed: February 14, 2006
    Date of Patent: March 31, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Eiichi Nishimura, Kenya Iwasaki
  • Patent number: 7504338
    Abstract: Disclosed herein is a method of pattern etching a layer of a silicon-containing dielectric material. The method employs a plasma source gas including CF4 to CHF3, where the volumetric ratio of CF4 to CHF3 is within the range of about 2:3 to about 3:1; more typically, about 1:1 to about 2:1. Etching is performed at a process chamber pressure within the range of about 4 mTorr to about 60 mTorr. The method provides a selectivity for etching a silicon-containing dielectric layer relative to photoresist of 1.5:1 or better. The method also provides an etch profile sidewall angle ranging from 88° to 92° between said etched silicon-containing dielectric layer and an underlying horizontal layer. in the semiconductor structure. The method provides a smooth sidewall when used in combination with certain photoresists which are sensitive to 193 nm radiation.
    Type: Grant
    Filed: August 9, 2006
    Date of Patent: March 17, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Yan Du, Meihua Shen, Shashank Deshmukh
  • Patent number: 7504341
    Abstract: A method of manufacturing a semiconductor device, including the steps of forming one or more insulation films over a substrate, said one or more insulation films including an insulation film at a top thereof, coating the insulation film with a substrate processing agent, providing resist onto the insulation film coated with the substrate processing agent, lithographically forming a pattern of the resist, and dry-etching the insulation film by using the resist as a mask, wherein the substrate processing agent contains at least a solvent and an acid generating agent.
    Type: Grant
    Filed: February 10, 2003
    Date of Patent: March 17, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Kouichi Nagai, Hideyuki Kanemitsu
  • Publication number: 20090068845
    Abstract: Components of semiconductor processing apparatus are formed at least partially of erosion, corrosion and/or corrosion-erosion resistant ceramic materials. Exemplary ceramic materials can include at least one oxide, nitride, boride, carbide and/or fluoride of hafnium, strontium, lanthanum oxide and/or dysprosium. The ceramic materials can be applied as coatings over substrates to form composite components, or formed into monolithic bodies. The coatings can protect substrates from physical and/or chemical attack. The ceramic materials can be used to form plasma exposed components of semiconductor processing apparatus to provide extended service lives.
    Type: Application
    Filed: August 28, 2008
    Publication date: March 12, 2009
    Applicant: Lam Research Corporation
    Inventor: Robert J. O'Donnell
  • Patent number: 7501349
    Abstract: A method is provided for oxide removal from a substrate. The method includes providing the substrate in a process chamber where the substrate has an oxide layer formed thereon, and performing a sequential oxide removal process. The sequential oxide removal process includes exposing the substrate at a first substrate temperature to a flow of a first etching gas containing F2 to partially remove the oxide layer from the substrate, raising the temperature of the substrate from the first substrate temperature to a second substrate temperature, and exposing the substrate at the second temperature to a flow of a second etching gas containing H2 to further remove the oxide layer from the substrate. In one embodiment, a film may be formed on the substrate following the sequential oxide removal process.
    Type: Grant
    Filed: March 31, 2006
    Date of Patent: March 10, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Anthony Dip, Allen John Leith, Seungho Oh
  • Patent number: 7498229
    Abstract: A method of fabricating semiconductor components in-situ and in a continuous integrated sequence includes the steps of providing a single crystal semiconductor substrate, epitaxially growing a first layer of rare earth insulator material on the semiconductor substrate, epitaxially growing a first layer of semiconductor material on the first layer of rare earth insulator material, epitaxially growing a second layer of rare earth insulator material on the first layer of semiconductor material, and epitaxially growing a second layer of semiconductor material on the second layer of rare earth insulator material. The first layer of rare earth insulator material, the first layer of semiconductor material, the second layer of rare earth insulator material, and the second layer of semiconductor material form an in-situ grown structure of overlying layers. The in-situ grown structure is etched to define a semiconductor component and electrical contacts are deposited on the semiconductor component.
    Type: Grant
    Filed: February 9, 2005
    Date of Patent: March 3, 2009
    Assignee: Translucent, Inc.
    Inventor: Petar B. Atanackovic
  • Patent number: 7494933
    Abstract: A method of performing a timed etch of a material to a precise depth is provided. In this method, ion implantation of the material is performed before the timed etch. This ion implantation process substantially enhances the etch rate of the material within a precisely controlled depth range corresponding to the range of implantation-induced damage. By using the ion implantation, the variation in vertical etch depth can be reduced by a factor approximately equal to the etch rate of the damaged material divided by the etch rate of the undamaged material. The vertical etch depth can be used to provide a vertical dimension of a non-planar semiconductor device. Minimizing vertical device dimension variations on a wafer can reduce device and circuit performance variations, which is highly desirable.
    Type: Grant
    Filed: June 16, 2006
    Date of Patent: February 24, 2009
    Assignee: Synopsys, Inc.
    Inventor: Tsu-Jae King Liu
  • Patent number: 7491343
    Abstract: A method for etching features in an etch layer is provided. A patterned photoresist mask is provided over the etch layer, the photoresist mask having at least one photoresist line having a pair of sidewalls ending at a line end is provided. A polymer layer is placed over the at least one photoresist line, wherein a thickness of the polymer layer at the line end of the photoresist line is greater than a thickness of the polymer layer on the sidewalls of the photoresist line. Features are etched into the etch layer through the photoresist mask, wherein a line end shortening (LES) ratio is less than or equal to 1.
    Type: Grant
    Filed: January 10, 2007
    Date of Patent: February 17, 2009
    Assignee: Lam Research Corporation
    Inventors: Yoko Yamaguchi Adams, Gowri Kota, Frank Y. Lin, Qinghua Zhong
  • Publication number: 20090042398
    Abstract: A method of patterning a film stack is described. The method comprises preparing a film stack on a substrate, wherein the film stack comprises a SiCOH-containing layer formed on the substrate, a silicon oxide (SiOx) layer formed on the SiCOH-containing layer, and a mask layer formed on the silicon oxide layer. A pattern is created in the mask layer. Thereafter, the pattern in the mask layer is transferred to the silicon oxide layer using an etching process, and then the mask layer is removed. The pattern in the silicon oxide layer is transferred to the SiCOH-containing layer using a dry plasma etching process formed from a process composition comprising NF3.
    Type: Application
    Filed: August 10, 2007
    Publication date: February 12, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Yannick FEURPRIER
  • Patent number: 7482278
    Abstract: A new method of depositing PE-oxide or PE-TEOS. An HDP-oxide is provided over a pattern of polysilicon. An etch back is performed to the deposited HDP-oxide, a layer of plasma-enhanced SiN is deposited. This PE-SiN is etched back leaving SiN spacers on the sidewalls of the poly pattern, further leaving a deposition of HDP-oxide on the top surface of the poly pattern. The profile of the holes within the poly pattern in such that the final layer of PE-oxide or PE-TEOS is deposited without resulting in the formation of keyholes in this latter layer.
    Type: Grant
    Filed: February 11, 1999
    Date of Patent: January 27, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tze-Liang Ying, James (Cheng-Ming) Wu, Yu-Hua Lee, Wen-Chuan Chiang
  • Patent number: 7482262
    Abstract: Disclosed are embodiments relating to a method of manufacturing a semiconductor device that may improve the yield rate of the semiconductor device. In embodiments, the method may include preparing a substrate including a plurality of conductive patterns, forming first and second insulating layers on the substrate, forming a plurality of via holes by selectively etching the first and second insulating layers, forming a plurality of trenches by selectively etching the second insulating layer in such a manner that the trenches are communicated with the trenches, and forming metal interconnections in the via holes and the trenches. The width ratio of the trench to the insulating layer positioned between adjacent trenches may be in a range of 0.45 to 0.55.
    Type: Grant
    Filed: November 14, 2006
    Date of Patent: January 27, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Ji Ho Hong
  • Patent number: 7476623
    Abstract: In the method for microstructuring flat glass substrates a substrate surface of a glass substrate is coated with at least one structured mask layer and subsequently exposed to a chemically reactive ion etching process (RIE) with at least one chemical etching gas. In order to provide the same or a higher quality etching and etching rate even for economical types of glass the chemical etching gas is mixed with at least one noble gas, so that the proportion of sputtering etching in the ion etching process is significantly increased.
    Type: Grant
    Filed: October 4, 2005
    Date of Patent: January 13, 2009
    Assignee: Schott AG
    Inventors: Bianca Schreder, Rainer Liebald, Edgar Pawlowski, Dirk Sprenger, Dietrich Mund, Juergen Leib
  • Patent number: 7476609
    Abstract: A method for forming, by dry etch, an opening of a given shape in a silica glass layer, the layer having a doping profile similar to the shape and the etch plasma being a non-carbonated fluorinated plasma causing a non-directional etching.
    Type: Grant
    Filed: October 27, 2006
    Date of Patent: January 13, 2009
    Assignee: STMicroelectronics S.A.
    Inventor: Fabienne Judong
  • Patent number: 7473647
    Abstract: A method of forming a fine pattern of a semiconductor device using a fine pitch hard mask is provided. A first hard mask pattern including first line patterns formed on an etch target layer of a substrate with a first pitch is formed. A first layer including a top surface where a recess is formed between adjacent first line patterns is formed. A second hard mask pattern including second line patterns within the recess is formed. An anisotropic etching process is performed on the first layer using the first and the second line patterns as an etch mask. Another anisotropic etching process is performed on the etch target layer using the first and the second hard mask patterns as an etch mask.
    Type: Grant
    Filed: March 6, 2006
    Date of Patent: January 6, 2009
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Ji-young Lee, Sang-gyun Woo, Joon-soo Park
  • Patent number: 7470625
    Abstract: A method for controlling striations and CD loss in a plasma etching method is disclosed. During the etching process, the substrate of semiconductor material to be etched is exposed first to plasma under a low power strike and subsequently to a conventional high power strike. CD loss has been found to be reduced by about 400 Angstroms and striations formed in the contact holes are reduced.
    Type: Grant
    Filed: May 5, 2006
    Date of Patent: December 30, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Bradley J. Howard
  • Patent number: 7470628
    Abstract: Processes, etchants, and apparatus useful for etching an insulating oxide layer of a substrate without damaging underlying nitride features or field oxide regions. The processes exhibit good selectivity to both nitrides and field oxides. Integrated circuits produced utilizing etching processes of the present invention are much less likely to be defective due to photoresist mask misalignment. Etchants used in processes of the present invention comprise a carrier gas, one or more C2+F gases, CH2F2, and a gas selected from the group consisting of CHF3, CF4, and mixtures thereof. The processes can be performed at power levels lower than what is currently utilized in the prior art.
    Type: Grant
    Filed: May 17, 2005
    Date of Patent: December 30, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Kei-Yu Ko
  • Patent number: 7468317
    Abstract: A method of forming a metal line, in which a nitride layer is used instead of a metal barrier layer, enabling a metal line structure with a relatively low resistance and therefore realizing a high integration of a device. In the method of forming the metal line of the semiconductor device, a first insulating layer and a second insulating layer with a different etch selectivity are sequentially formed on a semiconductor substrate. Predetermined regions of the first insulating layer and the second insulating layer are sequentially etched to form a contact hole. A metal barrier layer is formed on the entire surface including the contact hole. A first metal material is deposited on the entire surface to gap-fill the contact hole. The first metal material on the second insulating layer is stripped such that the first metal material remains only within the contact hole, thus forming a contact plug. A metal line is formed on a predetermined region of the second insulating layer including the contact plug.
    Type: Grant
    Filed: November 7, 2006
    Date of Patent: December 23, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jik Ho Cho, Tae Kyung Kim
  • Patent number: 7468323
    Abstract: An etching process includes providing a dielectric first film on a substrate and a sacrificial second film on the dielectric first film. A conductive structure such as a container capacitor is formed in a recess in the first and second films. The conductive structure is exposed as to its external surface by an etch process that resists destructive collapse of the conductive structure.
    Type: Grant
    Filed: February 27, 2004
    Date of Patent: December 23, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Kevin Torek, Kevin Shea, Thomas Graettinger
  • Patent number: 7465670
    Abstract: On a surface of a semiconductor wafer W, a SiCN film, a SiCOH film, a TEOS film, an antireflection film, and a resist film (ArF resist) as a mask are formed in turn. A via hole is formed by plasma etching the SiCOH film with a predetermined etching gas comprising a mixed gas, for example, CF4/CH2F2/N2/O2 mixed gas (not containing a rare gas such as an Ar gas). Thereby, the selection ratio between a low dielectric constant insulation film comprising a carbon containing silicon oxide and the resist can be improved. And at the same time, even when the hole has a minute diameter and a high aspect ratio, an inner wall surface of the hole can be formed in a satisfactory state.
    Type: Grant
    Filed: March 28, 2006
    Date of Patent: December 16, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Shin Hirotsu, Shuhei Ogawa
  • Patent number: 7459402
    Abstract: To protect the structural layers from being eroded in the etching process, a protection layer is deposited on the exposed structural layers of the micromirror. The protection layer is deposited before etching and removed after etching.
    Type: Grant
    Filed: May 24, 2005
    Date of Patent: December 2, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Jonathan Doan, Satyadev Patel, Peter Heureux
  • Patent number: 7456109
    Abstract: A cleaning method of a substrate processor that reduces damage to a member in a substrate processing container. The method of cleaning the substrate processing container of the substrate processor that processes a target substrate according to the present invention includes: introducing gas into a remote plasma generating unit of the substrate processor; exciting the gas by the remote plasma generating unit, and generating reactive species; and supplying the reactive species to the processing container from the remote plasma generating unit, and pressurizing the processing container at 1333 Pa or greater.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: November 25, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Hideaki Yamasaki, Kazuhito Nakamura, Koumei Matsuzawa, Tsukasa Matsuda, Yumiko Kawano
  • Patent number: 7452795
    Abstract: When a via-hole 26 and an interconnection trench 32 are formed in an interconnection films 16, 18 by using as a mask a hard mask 20 covering the region except via-hole forming region, and a hard mask 22 covering the region except an interconnection trench forming region, the hard mask 20 is isotropically etched to expose the upper surface of the inter-layer insulating film 18 at a periphery of the via-hole forming region and leave the hard mask 20 in the interconnection trench forming region except the periphery, and then the hard mask 20 and the insulating films 18, 16 are anisotropically etched, whereby the via-hole 26 having increased-width portion 34 at the upper part, and the interconnection trench 32 connected to the via-hole 26 at the increased-width portions 26 are formed.
    Type: Grant
    Filed: August 18, 2005
    Date of Patent: November 18, 2008
    Assignee: Fujitsu Limited
    Inventor: Yoshihisa Iba
  • Patent number: 7452813
    Abstract: A method of manufacturing a semiconductor device according to the present invention, comprising the steps of: forming a first insulating film on a substrate that is provided with a structure; forming a second insulating film on the first insulating film; polishing at least the second insulating film; forming a third insulating film on the polished second insulating film; and etching a remaining film including at least the second insulating film or the third insulating film so that an exposed surface of the second insulating film and the third insulating film is parallel with a surface of the substrate.
    Type: Grant
    Filed: March 3, 2006
    Date of Patent: November 18, 2008
    Assignee: Elpida Memory, Inc.
    Inventor: Takeo Tsukamoto
  • Publication number: 20080274334
    Abstract: A dry etching gas comprising a C4-6 fluorine compound which has an ether bond or carbonyl group and one or more fluorine atoms in the molecule and is constituted only of carbon, fluorine, and oxygen atoms and in which the ratio of the number of fluorine atoms to the number of carbon atoms (F/C) is 1.9 or lower (provided that the compound is neither a fluorine compound having one cyclic ether bond and one carbon-carbon double bond nor a saturated fluorine compound having one carbonyl group); a mixed dry etching gas comprising the dry etching gas and at least one gas selected from the group consisting of rare gases, O2, O3, CO, CO2, CHF3, CH2F2, CF4, C2F6, and C3F8; and a method of dry etching which comprises converting either of these dry etching gases into a plasma and processing a semiconductor material with the plasma.
    Type: Application
    Filed: May 30, 2005
    Publication date: November 6, 2008
    Applicants: National Institute of Advanced Industrial Science and Technology, Zeon Corporation
    Inventors: Akira Sekiya, Tatsuya Sugimoto, Toshiro Yamada, Takanobu Mase
  • Patent number: 7442633
    Abstract: Systems and methods are provided for an on-chip decoupling device and method. One aspect of the present subject matter is a capacitor. One embodiment of the capacitor includes a substrate, a high K dielectric layer doped with nano crystals disposed on the substrate, and a top plate layer disposed on the high K dielectric layer. According to one embodiment, the high K dielectric layer includes Al2O3. According to other embodiments, the nano crystals include gold nano crystals and gold nano crystals. One capacitor embodiment includes a MIS (metal-insulator-silicon) capacitor fabricated on silicon substrate, and another capacitor embodiment includes a MIM (metal-insulator-metal) capacitor fabricated between the interconnect layers above silicon substrate. The structure of the capacitor is useful for reducing a resonance impedance and a resonance frequency for an integrated circuit chip. Other aspects are provided herein.
    Type: Grant
    Filed: August 23, 2005
    Date of Patent: October 28, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Arup Bhattacharyya
  • Patent number: 7439087
    Abstract: A technology for reducing distance between adjacent pixel electrodes to smaller than the limit set by conventional process margin and also preventing adjacent pixel electrodes from being short circuited is provided.
    Type: Grant
    Filed: December 23, 2003
    Date of Patent: October 21, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Akira Ishikawa, Yoshiharu Hirakata
  • Patent number: 7435354
    Abstract: A treatment method for a surface of a photoresist layer is provided. After forming a patterned photoresist layer over a wafer, a surface treatment step is performed to the photoresist layer by using at least one reaction gas comprising hydrogen bromide or hydrogen iodide to form a hardened layer over the surface of the photoresist layer. Wherein, the surface treatment step and the etching step are in-situ performed.
    Type: Grant
    Filed: January 6, 2005
    Date of Patent: October 14, 2008
    Assignee: United Microelectronic Corp.
    Inventor: Kao-Su Huang
  • Patent number: 7435644
    Abstract: Provided is a method of manufacturing a capacitor of a semiconductor device, which can prevent tilting or an electrical short of a lower electrode. In the method, a mesh-type bridge insulating layer is formed above the contact plug on a mold oxide layer. The mold oxide layer and the bridge insulating layer are etched to define an electrode region. The mold oxide layer is removed using an etching gas having an etch selectivity of 500 or greater for the mold oxide layer with respect to the bridge insulating layer.
    Type: Grant
    Filed: January 11, 2006
    Date of Patent: October 14, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Woo-Gwan Shim, Jung-Min Oh, Chang-Ki Hong, Sang-Jun Choi, Sang-Yong Kim
  • Patent number: 7432207
    Abstract: An object to be processed has a structure having an SiC film and an organic Si-low dielectric constant film formed on the SiC film. The SiC film is etched using a plasma produced from an etching gas and using the organic Si low-dielectric constant film as a mask. The etching gas contains CH2F2 or CH3F.
    Type: Grant
    Filed: June 10, 2002
    Date of Patent: October 7, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Takashi Fuse, Kiwamu Fujimoto, Tomoyo Yamaguchi
  • Patent number: 7432205
    Abstract: The invention is directed to a method for controlling a polishing process. The method comprises steps of providing a first wafer, wherein a thin film is located over the first wafer. A film average thickness distribution is obtained by measuring a plurality of thickness values of the thin film on a plurality regions over the wafer respectively. A removal rate recipe is determined according to the film average thickness distribution. A polishing process is performed according to the removal rate recipe.
    Type: Grant
    Filed: December 15, 2005
    Date of Patent: October 7, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Ching-Wen Teng, Chin-Kun Lin, Boon Tiong Neo
  • Publication number: 20080242064
    Abstract: To provide a manufacturing method of a semiconductor device capable of performing a selective growth at a low temperature. A manufacturing method of a semiconductor device for placing in a processing chamber a substrate having at least a silicon surface and an insulating film surface on a surface; and allowing an epitaxial film to selectively grow only on the silicon surface by using a substrate processing apparatus for heating an atmosphere in the processing chamber and the substrate, using a hearting unit disposed outside of the processing chamber, includes a substrate loading step of loading the substrate into the processing chamber; a pre-processing step of supplying dichlorsilane gas and hydrogen gas into the processing chamber while maintaining a temperature in the substrate processing chamber to a prescribed temperature of 700° C.
    Type: Application
    Filed: April 1, 2008
    Publication date: October 2, 2008
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yasuhiro INOKUCHI, Atsushi MORIYA, Yasuhiro OGAWA
  • Patent number: 7429533
    Abstract: A method for providing features in an etch layer is provided. A sacrificial patterned layer with sacrificial features is provided over an etch layer. Conformal sidewalls are formed in the sacrificial features, comprising at least two cycles of a sidewall formation process, wherein each cycle comprises a sidewall deposition phase and a sidewall profile shaping phase. Parts of the sacrificial patterned layer between conformal sidewalls are removed leaving the conformal sidewalls with gaps between the conformal sidewalls where parts of the sacrificial patterned layer were selectively removed. Features are etched in the etch layer using the conformal sidewalls as an etch mask, wherein the features in the etch layer are etched through the gaps between the conformal sidewalls where parts of the sacrificial patterned layer were selectively removed.
    Type: Grant
    Filed: May 10, 2006
    Date of Patent: September 30, 2008
    Assignee: Lam Research Corporation
    Inventors: Zhisong Huang, Jeffrey Marks, S. M. Reza Sadjadi
  • Patent number: 7425277
    Abstract: Broadly speaking, methods and an apparatus are provided for removing an inorganic material from a substrate. More specifically, the methods provide for removing the inorganic material from the substrate through exposure to a high density plasma generated using an inductively coupled etching apparatus. The high density plasma is set and controlled to isotropically contact particular regions of the inorganic material to allow for trimming and control of a critical dimension associated with the inorganic material.
    Type: Grant
    Filed: August 13, 2003
    Date of Patent: September 16, 2008
    Assignee: Lam Research Corporation
    Inventors: C. Robert Koemtzopoulos, Shibu Gangadharan, Chris G. N. Lee, Alan Miller
  • Patent number: 7422020
    Abstract: A porous dielectric layer is formed on a substrate. Aluminum is incorporated in the porous dielectric layer with a pattern process using an Aluminum gas precursor. The incorporated Aluminum improves the mechanical properties of the porous dielectric layer.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: September 9, 2008
    Assignee: Intel Corporation
    Inventors: Vijayakumar Ramachandrarao, Grant Kloster
  • Patent number: 7419908
    Abstract: A method of fabricating electronic, optical or magnetic devices requiring an array of large numbers of small feature in which regions defining individual features of the array are foamed by the steps of: (a) depositing a very thin film of a highly soluble solid onto a flat hydrophilic substrate; (b) exposing he film to solvent vapor under controlled conditions so that the film reorganizes into an array of discrete hemispherical islands on the surface; (c) depositing a film of a suitable resist material over the whole surface; (d) removing the hemispherical structures together with their coating of resist leaving a resist layer with an array of holes corresponding to the islands; and (e) subjecting the resulting structure to a suitable etching process so as to form a well at the position of each hole.
    Type: Grant
    Filed: February 10, 2006
    Date of Patent: September 2, 2008
    Assignee: Imperial Innovations Limited
    Inventor: Mino Green
  • Patent number: 7416973
    Abstract: By providing an additional silicon dioxide based etch stop layer, a corresponding etch process for forming contact openings for directly connecting polysilicon lines and active areas may be controlled in a highly reliable manner. In another aspect, the etch selectivity of the contact structure may be increased by a modification of the etch behavior of the exposed portion of the contact etch stop layer.
    Type: Grant
    Filed: October 3, 2006
    Date of Patent: August 26, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Carsten Peters, Heike Salz, Ralf Richter, Matthias Schaller
  • Patent number: 7416992
    Abstract: By using a non-metallic hard mask for patterning low-k dielectric materials of advanced semiconductor devices, an enhanced degree of etch fidelity is obtained. The present invention may readily be applied to via first-trench last, trench first-via last schemes.
    Type: Grant
    Filed: November 28, 2005
    Date of Patent: August 26, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Matthias Lehr, Peter Huebler, Christian Zistl
  • Patent number: 7410901
    Abstract: A method for fabricating substrate material to include trenches and unreleased beams with submicron dimensions includes etching a first oxide layer on the substrate to define a first set of voids in the first oxide layer to expose the substrate. A second oxide layer is accreted to the first oxide layer to narrow the first set of voids to become a second set of voids on the substrate. A polysilicon layer is deposited over the second oxide layer, the first oxide layer and the substrate. A third set of voids is etched into the polysilicon layer. Further etching widens the third set of voids to define a fourth set of voids to expose the first oxide layer and the substrate. The first oxide layer and the substrate is deeply etched to define beams and trenches in the substrate.
    Type: Grant
    Filed: April 27, 2006
    Date of Patent: August 12, 2008
    Assignee: Honeywell International, Inc.
    Inventor: Jorg Pilchowski
  • Publication number: 20080188082
    Abstract: A method for selectively etching an ultra high aspect ratio feature dielectric layer through a carbon based mask in an etch chamber is provided. A flow of an etch gas is provided, comprising a fluorocarbon containing molecule and an oxygen containing molecule to the etch chamber. A pulsed bias RF signal is provided. An energizing RF signal is provided to transform the etch gas to a plasma.
    Type: Application
    Filed: February 5, 2007
    Publication date: August 7, 2008
    Inventors: Kyeong-Koo Chi, Erik A. Edelberg
  • Patent number: 7407597
    Abstract: A method for etching features in an etch layer is provided. A patterned photoresist mask is formed over the etch layer with at least one photoresist line having a pair of sidewalls ending at a line end. A coating is placed over the photoresist line comprising at least one cycle of depositing a polymer layer over the photoresist line, wherein an amount of polymer at the line end is greater than an amount of polymer on the sidewalls, and hardening the polymer layer. Features are etched into the etch layer through the photoresist mask, wherein a line end shortening (LES) is less than or equal to 1.
    Type: Grant
    Filed: September 14, 2006
    Date of Patent: August 5, 2008
    Assignee: LAM Research Corporation
    Inventors: Gowri Kota, Frank Y. Lin, Qinghua Zhong