Sequential Etching Steps On A Single Layer Patents (Class 438/734)
  • Publication number: 20020052098
    Abstract: A method of fabricating a gate. A gate dielectric layer is formed, and a lower portion of a floating gate is formed encompassed by a first dielectric layer. Second dielectric layers with different etching rates are formed to cover the upper portion of the floating gate and the first dielectric layer. Using an etching mask, an opening is formed within the second dielectric layer to expose the floating gate and a portion of the second dielectric layers by performing an anisotropic etching process. Using the same etching mask, the second dielectric layers exposed within the opening is further etched by performing an isotropic etching process. Due to the different etching rates, a dielectric layer with an uneven and enlarged surface is formed. A conformal conductive layer is formed on the exposed lower portion of the floating gate and the exposed second dielectric layers as an upper portion of the floating gate.
    Type: Application
    Filed: November 30, 2000
    Publication date: May 2, 2002
    Inventor: Ching-Yu Chang
  • Patent number: 6380078
    Abstract: Method for fabrication of damascene interconnects and related structures is disclosed. A sacrificial layer is formed over a low-k dielectric. Trenches are then etched inside the sacrificial layer and the low-k dielectric. The trenches are then filled with metal. During a first CMP process, excess metal over the sacrificial layer is removed. During a second CMP process, the sacrificial layer over the low-k dielectric and any remaining excess metal are removed. By the end of the second CMP process substantially all of the sacrificial layer and all of the excess metal are removed. In this manner, the trenches in the low-k dielectric are filled with metal where the metal surface is substantially flush with the surface of the low-k dielectric.
    Type: Grant
    Filed: May 11, 2000
    Date of Patent: April 30, 2002
    Assignee: Conexant Systems, Inc.
    Inventors: Q. Z. Liu, Lawrence E. Camilletti
  • Patent number: 6368979
    Abstract: A dual damascene type of structure of vias and trenches formed using layers of low k dielectric material is disclosed, and a process for making same without damage to the low k dielectric material during removal of photoresist masks used respectively in the formation of the pattern of via openings and the pattern of trench openings in the layers of low k dielectric material. Damage to the low k dielectric material is avoided by forming a first layer of low k dielectric material on an integrated circuit structure; forming a first hard mask layer over the first layer of low k dielectric material; forming over the first hard mask layer a first photoresist mask having a pattern of via openings therein; and then etching the first hard mask layer through the first photoresist mask to form a first hard mask having the pattern of vias openings replicated therein, using an etch system which will also remove the first photoresist mask.
    Type: Grant
    Filed: June 28, 2000
    Date of Patent: April 9, 2002
    Assignee: LSI Logic Corporation
    Inventors: Zhihai Wang, Wilbur G. Catabay, Joe W. Zhao
  • Patent number: 6368970
    Abstract: A process for producing a semiconductor configuration includes the steps of providing a semiconductor substrate, providing a buffer oxide layer on the semiconductor substrate and providing a hard mask on the buffer oxide layer. An STI trench is etched by using the hard mask and a liner oxide layer is provided in the STI trench. The hard mask is removed to expose the buffer oxide layer and the buffer oxide layer is removed by an etching process. The buffer oxide layer is etched more rapidly than the liner oxide layer in the etching process. A gate oxide layer is provided on the semiconductor substrate. A semiconductor configuration is also provided.
    Type: Grant
    Filed: August 24, 2000
    Date of Patent: April 9, 2002
    Assignee: Infineon Technologies AG
    Inventors: Ayad Abdul-Hak, Achim Gratz, Christoph Ludwig, Reinhold Rennekamp, Elard Stein Von Kamienski, Peter Wawer
  • Patent number: 6365509
    Abstract: A method is provided for manufacturing a semiconductor with fewer steps and minimized variation in the etching process by using SiON as a bottom antireflective (BARC) layer and hard mask in conjunction with a thin photoresist layer. In one embodiment, an etch-stop layer is deposited on a semiconductor substrate, a dielectric layer is deposited on top of the etch-stop layer, a BARC is deposited on top of the dielectric layer, and a photoresist layer with a thickness less than the thickness of the BARC is then deposited on top of the BARC. The photoresist is then patterned, photolithographically processed, and developed. The BARC is then etched away in the pattern developed on the photoresist and to photoresist is then removed. The BARC is then used as a mask for the etching of the dielectric layer and is subsequently removed in the process of etchings the dielectric and etch-stop layers without the benefit of a separate BARC-removal step.
    Type: Grant
    Filed: May 31, 2000
    Date of Patent: April 2, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ramkumar Subramanian, Wenge Yang, Marina V. Plat, Lewis Shen
  • Patent number: 6362111
    Abstract: A process for forming a polysilicon line having linewidths below 0.23 &mgr;m. The layer of polysilicon (20) is deposited over a semiconductor body (10). A layer of bottom anti-reflective coating (BARC) (30) is deposited over the polysilicon layer (20). A resist pattern (40) is formed over the BARC layer (30) using conventional lithography (e.g., deep UV lithography). The BARC layer (30) is etched with an etch chemistry of HBr/O2 using the resist pattern (40) until the endpoint is detected. The BARC layer (30) and resist pattern (40) are then overetched using the same etch chemistry having a selectivity of approximately one-to-one between the BARC and resist. The overetch is a timed etch to control the linewidth reduction in the resist/BARC pattern. The minimum dimension of the pattern (50) is reduced to below the practical resolution limit of the lithography tool. Finally, the polysilicon layer (20) is etched using the reduced width pattern (50).
    Type: Grant
    Filed: August 25, 1999
    Date of Patent: March 26, 2002
    Assignee: Texas Instruments Incorporated
    Inventors: Reima Laaksonen, Robert Kraft, James B. Friedmann
  • Patent number: 6355181
    Abstract: In the manufacture of a micromechanical device, a substrate, having a mask thereon, is etched using a flourine-containing etchant gas or vapour in the absence of a plasma through an opening in the mask to a desired depth to form a trench having a side wall and a base in the substrate. A layer of protecting substance is deposited on the exposed surfaces of the substrate and mask, and the protecting substance is then selectively removed from the base. The base is then etched using the fluorine-containing etchant.
    Type: Grant
    Filed: November 17, 1999
    Date of Patent: March 12, 2002
    Assignee: Surface Technology Systems plc
    Inventor: Andrew Duncan McQuarrie
  • Publication number: 20020028586
    Abstract: A method for cleaning bonding pads on a semiconductor device, as disclosed herein, includes treating the bonding pads with a CF4 and water vapor combination. In the process, the water vapor breaks up and the hydrogen from the water vapor couples to fluorine residue on the bonding pad surface creating a volatile HF vapor. In addition, fluorine from the CF4 exchanges with the titanium in the metallic polymer residue making the polymer more soluble for the organic strip operation which follows. Next, the resist is ashed and then an organic resist stripper is applied to the bonding pad area, thereby creating a clean bonding pad surface. Thereafter, a reliable bond wire connection can be made to the bonding pad.
    Type: Application
    Filed: September 21, 2001
    Publication date: March 7, 2002
    Inventors: Mark Haley, Delbert Parks, Judy Galloway
  • Patent number: 6350696
    Abstract: Spacers are formed on a semiconductor device by depositing a spacer layer on the semiconductor device. The semiconductor device is subjected to an anisotropic etching process to leave at least a portion of the spacer layer covering the semiconductor device. The semiconductor device is then subjected to an isotropic etching process to form the spacers on the semiconductor device.
    Type: Grant
    Filed: September 28, 2000
    Date of Patent: February 26, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jeffrey A. Shields, Jeffrey P. Erhardt
  • Patent number: 6350700
    Abstract: A low k carbon-doped silicon oxide dielectric material dual damascene structure is formed by improvements to a process wherein a first photoresist mask is used to form via openings through a first layer of low k carbon-doped silicon oxide dielectric material, followed by removal of the first photoresist mask; and wherein a second photoresist mask is subsequently used to form trenches in a second layer of low k carbon-doped silicon oxide dielectric material corresponding to a desired pattern of metal interconnects for an integrated circuit structure, followed by removal of the second photoresist mask.
    Type: Grant
    Filed: June 28, 2000
    Date of Patent: February 26, 2002
    Assignee: LSI Logic Corporation
    Inventors: Richard D. Schinella, Wilbur G. Catabay, Philippe Schoenborn
  • Patent number: 6350682
    Abstract: A method of fabricating dual damascene structure. A substrate having devices and a defined conductive layer is provided. A dielectric layer and a hard mask material layer are formed respectively over the substrate. An opening is defined within the hard mask material layer. Because of the different selectivity of the hard mask material layer and the dielectric layer, a trench is formed within the dielectric layer by defining the hard material mask layer and a portion of dielectric layer until the conductive layer is exposed. The cross shape of the trench has a wider opening and a narrower bottom. A metal layer is then formed and the trench is filled up with the metal layer. The process of dual damascene structure is accomplished..
    Type: Grant
    Filed: May 6, 1998
    Date of Patent: February 26, 2002
    Assignee: United Microelectronics Corp.
    Inventor: Kuan-Yang Liao
  • Patent number: 6348418
    Abstract: A tungsten silicide (WSi) film is formed of tungsten hexafluoride (WF6) and dichlorosilane (SiCl2) as main raw material on a polysilicon film by the CVD method. At the final stage of this film forming process, supply of tungsten hexafluoride is terminated to relax internal stresses. As a result, on the tungsten silicide film, an Si-rich tungsten silicide film containing chlorine ions in a high concentration is formed. Then, before coating a chemical amplification photoresist, these films along with a silicon substrate are soaked in an etching liquid containing hydrogen peroxide to remove the Si-rich tungsten silicide film so that generation of ammonia chloride, which suppresses an alkali developing action, can be controlled. Thus the tungsten silicide film can be patterned by photolithography without pattern defects.
    Type: Grant
    Filed: January 29, 1999
    Date of Patent: February 19, 2002
    Assignee: NEC Corporation
    Inventors: Kenji Okamura, Hiromi Arata, Shuichi Inoue
  • Patent number: 6348364
    Abstract: According to one aspect of the disclosure, the present invention provides methods and arrangements for milling the substrate of a semiconductor device to expose a selected region in the substrate, wherein the semiconductor device has a grid formed in the device to provide lateral and depth position indication during an etch/milling process. In an example implementation, the grid is three dimensional and is used during device analysis for navigation while removing substrate to access a selected circuit area via the backside of flip-chip device. As substrate is removed, the tools are aligned as indicated by the grid.
    Type: Grant
    Filed: August 26, 1999
    Date of Patent: February 19, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Victoria J. Bruce, Leslie Stevenson, Kenneth J. Morrissey, Charles Bachand
  • Patent number: 6344417
    Abstract: A method for fabricating MEMS wherein a structural member is released without using a sacrificial layer. In one embodiment, the method comprises forming a buried hydrogen-rich layer in a semiconductor substrate, defining a release structure in the semiconductor substrate above the buried hydrogen-rich layer, and separating at least a portion of the release structure from the semiconductor substrate by cleaving the semiconductor substrate at the buried hydrogen-rich layer. The method can be used to fabricate hybrid devices wherein a MEMS device and a semiconductor device are formed on the same chip.
    Type: Grant
    Filed: August 8, 2000
    Date of Patent: February 5, 2002
    Assignee: Silicon Wafer Technologies
    Inventor: Alexander Usenko
  • Publication number: 20020013063
    Abstract: Disclosed is apparatus for treating samples, and a method of using the apparatus. The apparatus includes processing apparatus (a) for treating the samples (e.g., plasma etching apparatus), (b) for removing residual corrosive compounds formed by the sample treatment, (c) for wet-processing of the samples and (d) for dry-processing the samples. A plurality of wet-processing treatments of a sample can be performed. The wet-processing apparatus can include a plurality of wet-processing stations. The samples can either be passed in series through the plurality of wet-processing stations, or can be passed in parallel through the wet-processing stations.
    Type: Application
    Filed: July 31, 2001
    Publication date: January 31, 2002
    Inventors: Masayuki Kojima, Yoshimi Torii, Michimasa Hunabashi, Kazuyuki Suko, Takashi Yamada, Keizo Kuroiwa, Kazuo Nojiri, Yoshinao Kawasaki, Yoshiaki Sato, Ryooji Fukuyama, Hironobu Kawahara
  • Patent number: 6337285
    Abstract: The invention is a two-step dual-chemistry process for etching through a selected portion of an insulating oxide layer of a substrate to create a self-aligned contact opening without damaging underlying field oxide regions. The first etching step uses essentially a CxFy (x>1)-type chemistry that etches only partially through the oxide layer, since it has very good selectivity to the silicon nitride cap of the gate stacks but a poor selectivity to the field oxide regions. The second etching step employs a second chemistry comprising an H-containing fluorocarbon chemistry. The second chemistry has a good selectivity to the field oxide regions and, at the same time, is able to finish etching the opening.
    Type: Grant
    Filed: March 21, 2000
    Date of Patent: January 8, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Kei-Yu Ko
  • Publication number: 20020001965
    Abstract: Using sub-micron technology, silicon on insulator (SOI) rows and islands are formed in a silicon substrate. Trenches are directionally-etched in the silicon substrate, leaving rows of silicon between the trenches. Silicon nitride is then deposited over the trenches, extending partly down the sides of the trenches. An isotropic chemical etch is then used to partially undercut narrow rows of silicon in the substrate. A subsequent oxidation step fully undercuts the rows of silicon, isolating the silicon rows from adjacent active areas. Devices, such as transistors for CMOS and DRAMs, are then formed in active areas, wherein the active areas are defmed on the silicon rows by LOCal Oxidation of Silicon (LOCOS).
    Type: Application
    Filed: July 22, 1997
    Publication date: January 3, 2002
    Inventor: LEONARD FORBES
  • Patent number: 6335292
    Abstract: A method for controlling striations and CD loss in a plasma etching method is disclosed. During the etching process, the substrate of semiconductor material to be etched is exposed first to plasma under a low power strike and subsequently to a conventional high power strike. CD loss has been found to be reduced by about 400 Angstroms and striations formed in the contact holes are reduced.
    Type: Grant
    Filed: April 15, 1999
    Date of Patent: January 1, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Bradley J. Howard
  • Patent number: 6333558
    Abstract: A semiconductor device includes an inorganic insulating film provided on a low-dielectric-constant film, a hole pattern which passes through at least the inorganic insulating film, and a dummy hole pattern which passes through the inorganic insulating film. The dummy hole pattern is preferably formed in a region in which the pattern density of the hole pattern is low. The dummy hole pattern may include a trench or a hole. A method for fabricating a semiconductor device includes the steps of forming an inorganic insulating film on a low-dielectric-constant film, forming a hole pattern which passes through at least the inorganic insulating film, and forming a dummy hole pattern which passes through the inorganic insulating film.
    Type: Grant
    Filed: May 26, 1999
    Date of Patent: December 25, 2001
    Assignee: Sony Corporation
    Inventor: Toshiaki Hasegawa
  • Patent number: 6333273
    Abstract: A method and apparatus for dry etching changes at least one of the effective pumping speed of a vacuum chamber and the gas flow rate to alter the processing of an etching pattern side wall of a sample between first and second conditions. The first and second conditions include the presence or absence of a deposit film, or the presence, absence or shape of a taper angle. Various parameters for controlling the first and second conditions are contemplated.
    Type: Grant
    Filed: August 28, 2000
    Date of Patent: December 25, 2001
    Assignee: Hitachi, Ltd.
    Inventors: Takao Kumihashi, Kazunori Tsujimoto, Shinichi Tachi
  • Patent number: 6333256
    Abstract: The invention includes a semiconductor processing method which comprises forming a first material layer over a substrate. A second material layer is formed over the first material layer. Photoresist is deposited over the second material layer, and an opening is formed within the photoresist to the second material layer. The second material layer is etched through the photoresist opening to a degree insufficient to outwardly expose the first material layer. The photoresist is then stripped from the substrate. Subsequently, the second material layer and the first material layer are blanket etched.
    Type: Grant
    Filed: December 22, 1998
    Date of Patent: December 25, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Shubneesh Batra
  • Publication number: 20010051435
    Abstract: An oxygen ion process, Chemical Reactive-Ion Surface Planarization (CRISP), has been developed which enables planarization of thin film surfaces at the atomic level. Narrow/broad band filters produced with vacuum deposited multilayered thin films are designed to selectively reflect/transmit light at specific wavelengths. The optical performance is limited by the ability to control the individual layer thickness, the “roughness” of the individual layer surfaces and the stoichiometry of the layers. The process described herein will enable reduction of surface roughness at the interfaces of multilayered thin films to produce atomically smooth surfaces. The application of this process will result in the production of notch filters of less than 0.3 nm full width at half maximum (FWHM) centered at the desired wavelength.
    Type: Application
    Filed: May 24, 2001
    Publication date: December 13, 2001
    Applicant: Atomic Telecom
    Inventors: Gerald T. Mearini, Laszlo Takacs
  • Patent number: 6329306
    Abstract: In a method of manufacturing a semiconductor device, a plurality of inter layer conductive path is formed through a first resist pattern which in turn is formed by an exposure of a hole pattern mask. A plurality of conductive lines is formed, adjacent to the layer of the conductive paths, through a second resist pattern which in turn is formed by double exposure of a line pattern mask and the hole pattern mask. Each conductive line is positioned on at least one of the conductive paths. Or alternatively, each conductive path is positioned between the lines.
    Type: Grant
    Filed: July 3, 2000
    Date of Patent: December 11, 2001
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Shuji Nakao
  • Patent number: 6329300
    Abstract: In a method for manufacturing a conductive pattern layer, a conductive layer is deposited on a substrate, and an etching mask layer is coated onto the conductive layer. First, the conductive layer is etched by a first etching solution using the etching mask layer to expose the substrate a sidewall of the conductive layer. Then, the conductive layer is etched by a second etching solution using the etching mask to retard the sidewall of the conductive layer.
    Type: Grant
    Filed: July 27, 2000
    Date of Patent: December 11, 2001
    Assignee: NEC Corporation
    Inventor: Atsushi Yamamoto
  • Publication number: 20010044213
    Abstract: A method of plasma etching of silicon that utilizes the plasma to provide laterally defined recess structures through a mask. The method is based on the variation of the plasma parameters to provide a well-controlled anisotropic etch, while achieving a very high etch rate, and a high selectivity with respect to a mask. A mixed gas is introduced into the vacuum chamber after the chamber is evacuated, and plasma is generated within the chamber. The substrate's surface is exposed to the plasma. Power sources are used for formation of the plasma discharge. An integrated control system is used to modulate the plasma discharge power and substrate polarization voltage levels.
    Type: Application
    Filed: April 21, 1999
    Publication date: November 22, 2001
    Inventors: TAMARAK PANDHUMSOPORN, KEVIN YU, MICHAEL FELDBAUM, MICHEL PUECH
  • Patent number: 6319861
    Abstract: A method for improving the quality of a deposited layer over a silicon substrate in a selective deposition where the silicon substrate has a native oxide layer thereon. A plasma reaction using a halogen compound as a reactive agent is performed so that the native oxide layer is transformed into a silicon halide layer and then removed at low pressure. A layer of the desired material is formed over the native oxide free silicon substrate surface by selective deposition.
    Type: Grant
    Filed: May 2, 2000
    Date of Patent: November 20, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Hsueh-Hao Shih, Alan Cheng, Juan-Yuan Wu
  • Patent number: 6318384
    Abstract: This invention is directed to a method for etching films on semiconductor substrates and cleaning etch chambers. The method includes an improved processing sequence and cleaning method where residue formed from processing a previous substrate are cleaned by the etching process used to remove an exposed layer of material from the present substrate. The process provides improved substrate throughput by combining the step to clean residue from a previous substrate with an etch step conducted on the present substrate. Applicants have found the method particularly useful in processing structures such as DRAM stacks, especially where the residue is formed by a trench etched in the previous silicon substrate and the exposed layer etched from the present substrate is silicon nitride.
    Type: Grant
    Filed: September 24, 1999
    Date of Patent: November 20, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Anisul Khan, Ajay Kumar, Jeffrey D. Chinn, Dragan Podlesnik
  • Publication number: 20010041453
    Abstract: An aluminum-copper alloy layer is patterned through a photo-lithography followed by a dry etching, and side walls of etching residue containing aluminum chloride, which is causative of after-corrosion in the aluminum-copper alloy line, is grown during the dry etching, wherein the side walls are exposed to gaseous mixture containing ionic water vapor so that hydrogen ion and/or the hydroxyl group reacts with the aluminum chloride, thereby converting the aluminum chloride to aluminum and/or aluminum hydroxide and hydrochloric acid vaporized into vacuum.
    Type: Application
    Filed: July 6, 1999
    Publication date: November 15, 2001
    Inventor: MASAHIKO OHUCHI
  • Publication number: 20010039112
    Abstract: In one aspect, the invention provides a method of exposing a material from which photoresist cannot be substantially selectively removed utilizing photoresist. In one preferred implementation, a first material from which photoresist cannot be substantially selectively removed is formed over a substrate. At least two different material layers are formed over the first material. Photoresist is deposited over the two layers and an opening formed within the photoresist over an outermost of the two layers. First etching is conducted through the outermost of the two layers within the photoresist opening to outwardly expose an innermost of the two layers and form an exposure opening thereto. After the first etching, photoresist is stripped from the substrate. After the stripping, a second etching is conducted of the innermost of the two layers within the exposure opening.
    Type: Application
    Filed: December 22, 1998
    Publication date: November 8, 2001
    Inventors: GURTEJ S. SANDHU, SHUBNEESH BATRA
  • Patent number: 6313040
    Abstract: A process for etching a dielectric layer, including the steps of forming, over the dielectric layer, a layer of polysilicon, forming over the layer of polysilicon a photoresist mask layer, etching the layer of polysilicon using the photoresist mask layer as an etching mask for selectively removing the layer of polysilicon, removing the photoresist mask layer from over the layer of polysilicon, etching the dielectric layer using the layer of polysilicon as a mask. Subsequently, the layer of polysilicon is converted into a layer of a transition metal silicide, and the layer of transition metal silicide is etched for selectively removing the latter from over the dielectric layer.
    Type: Grant
    Filed: September 28, 1999
    Date of Patent: November 6, 2001
    Assignee: STMicroelectronics S.r.l.
    Inventors: Lorena Beghin, Francesca Canali, Francesco Cazzaniga, Luca Riva, Carmelo Romeo
  • Patent number: 6313042
    Abstract: A method of cleaning a contact area of a semiconductor or metal region on a substrate of an electronic device. First, the contact area is cleaned by exposing the substrate to a plasma that includes fluorine-containing species. Second, the substrate is exposed to a second atmosphere that scavenges fluorine, preferably formed by plasma decomposition of a hydrogen-containing gas. The second atmosphere removes any fluorine residue remaining on the contact area and overcomes any need to include argon sputtering in the cleaning process. Another aspect of the invention is a method of depositing a refractory metal over a contact area of a semiconductor region on a substrate. The contact area is cleaned according to the two-step process of the preceding paragraph. Then a refractory metal is deposited over the contact area. The two-step cleaning process can reduce the electrical resistance between the refractory metal and the semiconductor region.
    Type: Grant
    Filed: September 3, 1999
    Date of Patent: November 6, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Barney M. Cohen, Jingang Su, Kenny King-Tai Ngan, Jr-Jyan Chen
  • Patent number: 6309947
    Abstract: A method of making a semiconductor device with improved isolation region to active region topography includes forming a masking layer on a surface of a substrate. A portion of the masking layer is removed to define one or more field regions and at least one trench is formed in the one or more field regions. An oxide layer is formed which substantially fills the trench and then a portion of the oxide layer is removed to leave the oxide layer with a relatively planar surface that is recessed with respect to the masking layer. The masking layer is then removed to expose the substrate. There may be a height differential between the substrate surface and the relatively planer surface of the oxide layer, however, the height differential is substantially less than the thickness of the masking layer.
    Type: Grant
    Filed: October 6, 1997
    Date of Patent: October 30, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Basab Bandyopadhyay, Douglas J. Bonser
  • Patent number: 6306313
    Abstract: The invention removes a portion(s) of a material of interest, while leaving an adjacent or underlying electrode(s) intact. The material is exposed to a plasma containing at least two-halogen-containing gases. At least a portion of the material, for example a piezoelectric material, an oxygen-containing material, or a nitrogen-containing material, is etched by the plasma. By removing desired portions of this material, the device can have alternative or complex architecture. In addition, the propagation of shear waves is limited in the device.
    Type: Grant
    Filed: February 4, 2000
    Date of Patent: October 23, 2001
    Assignee: Agere Systems Guardian Corp.
    Inventors: Linus Albert Fetter, John Z. Pastalan
  • Patent number: 6303510
    Abstract: A plasma etch method for forming a patterned layer first employs a substrate having formed therover a blanket microelectronic layer. There is also formed over the blanket microelectronic layer a patterned mask layer. There is then etched, while employing a first plasma etch method which employs the patterned mask layer as an etch mask layer, the blanket microelectronic layer to form a partially etched blanket microelectronic layer. There is then etched, while employing a second plasma etch method which employs the patterned mask layer as an etch mask layer, the partially etched blanket microelectronic layer to form a patterned microelectronic layer. Within the present invention, the first plasma etch method employs a higher bias voltage than the second plasma etch method.
    Type: Grant
    Filed: June 21, 1999
    Date of Patent: October 16, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Wen-Cheng Chien, Hui-Chen Chu
  • Patent number: 6303396
    Abstract: A resistance monitoring approach is used for removing substrate from a back side of a semiconductor device. According to an example embodiment of the present invention, substrate is removed from a semiconductor device having a circuit side opposite the back side and a resistance path in silicon substrate. The change in resistance of the resistance path is monitored. The change in resistance provides an indication of the progress of the substrate removal process. Using the change in resistance, the substrate removal process is controlled.
    Type: Grant
    Filed: September 29, 1999
    Date of Patent: October 16, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Rosalinda M. Ring, Rama R. Goruganthu, Brennan V. Davis, Jeffrey D. Birdsley, Michael R. Bruce
  • Patent number: 6303513
    Abstract: A method for controlling a profile of a structure formed on a substrate using nitrogen trifluoride (NF3) in a high density plasma (HDP) process. Changing the amount of NF3 in the plasma controls the profile of the structure. It has been found that the best results are obtained with an inductively coupled plasma wherein the ion density is at least 1012 ions/cm3. The method is particularly suited to etch processes such as deep trench etch in silicon wafers.
    Type: Grant
    Filed: June 7, 1999
    Date of Patent: October 16, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Anisul Khan, Ajay Kumar, Dragan V. Podlesnik, Jeffrey D. Chinn
  • Publication number: 20010029106
    Abstract: A method or process for etching a trench in an IC structure is disclosed. The IC structure might be comprised of a plurality of different component materials arranged proximate to one another, all of which need to be etched down to a target level. A first etching chemistry is applied which preferentially etches a one type of component material. A second etching chemistry is applied which preferentially etches another type of component material. The method or process toggles back and forth between the etching chemistries until the target level is reached. The toggling techniques serves to maintain the profiles of the different component materials. One component material might also be embedded, as a collar or otherwise, around another component material. The toggling technique can serve to modulate the height, level, or shape of one material relative to another material. The toggling steps can be performed in situ or ex situ.
    Type: Application
    Filed: February 27, 2001
    Publication date: October 11, 2001
    Applicant: Lam Research Corporation
    Inventors: Alan J. Miller, Fandayani Soesilo
  • Patent number: 6300211
    Abstract: In a trench capacitor type semiconductor memory device including a semiconductor substrate having a trench and first and second impurity diffusion source/drain regions, a capacitor electrode buried in the trench, and a substrate-side capacitor electrode and a capacitor insulating layer within the semiconductor substrate and adjacent to a lower portion of the capacitor electrode, a buried insulating layer is formed between the semiconductor substrate and an upper portion of the capacitor electrode. The buried insulating layer is thicker than the capacitor insulating layer. However the buried insulating layer on a surface of the second impurity diffusion source/drain region is thin, or in direct contact with the capacitor electrode. A silicide layer is formed on the second impurity diffusion source/drain region and the capacitor electrode.
    Type: Grant
    Filed: August 4, 2000
    Date of Patent: October 9, 2001
    Assignee: NEC Corporation
    Inventor: Mitsuhiro Togo
  • Patent number: 6296780
    Abstract: The present invention is embodied in a method and apparatus for etching an organic anti-reflective coating (OARC) layer and a titanium nitride anti-reflective coating (TiN ARC) layer deposited on a substrate located within a processing chamber, without the need for removing the substrate being processed from the processing chamber in which it is situated and without the need for intervening processing steps, such as chamber cleaning operations. The substrate has a base, an underlying oxide layer above the base, an overlying layer above the underlying layer, a middle conductive layer, a TiN ARC layer, and a top OARC layer spun on top of the TiN ARC.
    Type: Grant
    Filed: December 8, 1997
    Date of Patent: October 2, 2001
    Assignee: Applied Materials Inc.
    Inventors: Chun Yan, Yan Ye, Diana Ma
  • Publication number: 20010023960
    Abstract: In a method for manufacturing a semiconductor device, first, a trench is formed on a semiconductor substrate by anisotropic etching, and a reaction product is produced and deposited on the inner wall of the trench during the anisotropic etching. Then, isotropic etching is performed to round a corner of a bottom portion of the trench without removing the reaction product. The isotropic etching can round the corner of the trench without etching the side wall of the trench that is covered by the reaction product.
    Type: Application
    Filed: February 23, 2001
    Publication date: September 27, 2001
    Inventors: Hajime Soga, Kenji Kondo, Eiji Ishikawa, Yoshikazu Sakano, Mikimasa Suzuki
  • Publication number: 20010023131
    Abstract: The invention provides methods for forming contact openings to a substrate location with which electrical connection is desired. According to one aspect, a multi-level layer comprising masking material or photoresist is formed atop an electrically conductive substrate surface and defines a mask opening through which a contact opening is to be formed to an elevationally lower substrate location. A single layer of photoresist is patterned to form an elevationally thicker first layer immediately laterally adjacent the mask opening than a second layer which is formed laterally outward of the first layer. The electrically conductive substrate surface is etched through the mask opening to form the contact opening. The photoresist second layer is removed and the conductive substrate surface is etched to form a portion of an outer conductive component. Thereafter, conductive material is formed in the contact opening to electrically connect elevationally separated layers.
    Type: Application
    Filed: May 21, 2001
    Publication date: September 20, 2001
    Inventors: Zhiqiang Wu, Alan R. Reinberg, Manny Ma
  • Patent number: 6291354
    Abstract: A method of fabricating a semiconductor device is described in which an insulation layer is formed over the gate electrode and the substrate. This insulation layer is anisotropically etched away except for a portion surrounding the sidewall of the gate electrode to form a spacer. The tip of the spacer is at the same height as the upper surface of the liner layer and is lower than the upper surface of the gate electrode, therefore, resulting in an increase of the exposed area of the gate electrode surface.
    Type: Grant
    Filed: May 21, 1999
    Date of Patent: September 18, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Hsi-Mao Hsiao, Chun-Lung Chen, H. C. Yu, Hsi-Chin Lin
  • Patent number: 6291359
    Abstract: Methods of forming contact openings and methods of controlling the degree of taper of contact openings are described. In one implementation, a layer is first etched through a contact mask opening using a first set of etching conditions. The etching conditions provide a first degree of sidewall taper from vertical, if etching completely through the layer. After the first etching, the layer is second etched through the contact mask opening using a second set of etching conditions. The second set of etching conditions provide a second degree of sidewall taper from vertical, if etching completely through the layer. The second degree of sidewall taper is different from the first degree of taper. In another embodiment, a material through which a contact opening is to be etched to a selected depth is formed over a substrate. A masking layer having an opening therein is formed over the material.
    Type: Grant
    Filed: May 9, 2000
    Date of Patent: September 18, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Kevin G. Donohoe, Richard L. Stocks
  • Patent number: 6291357
    Abstract: A substrate 20 is placed in a process zone 115 of a process chamber 110, process gas is introduced into the process zone 115, and an energized gas is formed in the process zone 115. First process conditions are set to form etch-passivating deposits onto a surface 22 of the substrate 20. Second process conditions are set to etch the surface 22 of the substrate 20. The etch-passivating deposits formed before the etching process improve etching uniformity and reduce etch-rate microloading.
    Type: Grant
    Filed: October 6, 1999
    Date of Patent: September 18, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Luke Zhang, Ruiping Wang, Ida Ariani Adisaputro, Kwang-Soo Kim
  • Patent number: 6281087
    Abstract: The present invention provides a process for fabricating a metal silicide layer. First, a silicon substrate having a polysilicon gate region and source/drain regions thereon is provided. Then, a spacer is formed on a sidewall of the gate region. Then, a metal layer is formed on the gate region and the source/drain regions by using ion metal plasma (IMP) deposition with substantially no metal layer formed on the spacer. Finally, the metal layer is transformed into a metal silicide layer. By means of the process of the present invention, since substantially on metal or only trace metal is formed on the spacer, the unwanted metal silicide formed on the spacer can be effectively prevented, and the undesirable bridging effect can be greatly alleviated.
    Type: Grant
    Filed: October 12, 2000
    Date of Patent: August 28, 2001
    Assignee: Vanguard International Semiconductor Corporation
    Inventor: Horng-Huei Tseng
  • Patent number: 6277762
    Abstract: A method of etching a platinum electrode layer disposed on a substrate. The method comprises providing a substrate supporting a platinum electrode layer, an insulation layer on the platinum electrode layer, and a resist layer on the insulation layer. A portion of the insulation layer is etched by employing a plasma of an etchant gas to break through and to remove the portion of the insulation layer from the platinum electrode layer to expose part of the platinum electrode layer. The exposed part of the platinum electrode layer is then etched by employing a plasma of an etchant gas comprising argon. The etched platinum electrode layer is subsequently overetched by employing a high density plasma of an etchant gas to remove redeposited veils from the etched platinum electrode layer. The etched platinum electrode layer is employed in a semiconductor device.
    Type: Grant
    Filed: March 14, 2000
    Date of Patent: August 21, 2001
    Assignee: Applied Materials, Inc.
    Inventor: Jeng H. Hwang
  • Patent number: 6277761
    Abstract: A method for fabricating stacked vias for microelectronic components. The method has a first step of providing a first patterned interconnect layer on a substrate. A first insulating layer is then applied on the first interconnect layer. A first via is formed in the first insulating layer and is in contact with the first interconnect layer. A second patterned interconnect layer is applied on the first insulating layer, leaving free a region around the first via. A second insulating layer is then deposited on the second interconnect layer and on the region left free around the first via. A second via is formed in the second insulating layer in such a way that it meets the first via directly.
    Type: Grant
    Filed: July 21, 2000
    Date of Patent: August 21, 2001
    Assignee: Siemens Aktiengesellschaft
    Inventors: Wolfgang Diewald, Detlef Weber
  • Patent number: 6274503
    Abstract: A method for etching a doped polysilicon layer. A first doped polysilicon layer of a first conductive type and a second doped polysilicon layer of a second conductive type are formed. An etching process is performed to pattern the first doped polysilicon layer and the second doped polysilicon layer. The etching process includes a first main etching step and a second main etching step. The etching pressure of the first main etching step is lower than the etching pressure of the second main etching step.
    Type: Grant
    Filed: February 1, 1999
    Date of Patent: August 14, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Chi-Kuo Hsieh
  • Patent number: 6274480
    Abstract: There is provided a method of fabricating a semiconductor device, including the steps of (a) forming an insulating film on a semiconductor substrate, the insulating film being formed with a contact hole, (b) covering the insulating film with a metal film so that the contact hole is filled with the metal film, and (c) applying polishing such as CMP and wet-etching to the metal film to thereby pattern the metal film into a metal plug or a metal wiring layer. For instance, the insulating film is dipped into etchant or is exposed to vapor of etchant in the wet-etching. Since the insulating film is removed by wet-etching having a smaller removal rate than that of CMP, it is possible to minimize projection of a metal film, ensuring reliability of a semiconductor device.
    Type: Grant
    Filed: September 9, 1999
    Date of Patent: August 14, 2001
    Assignee: NEC Corporation
    Inventor: Akira Kubo
  • Patent number: 6270929
    Abstract: A method for fabricating a T-gate structure is provided. A structure is provided that has a silicon layer having a gate oxide layer, a polysilicon layer over the gate oxide layer and an insulating layer over the gate oxide layer. A photoresist layer is formed over the insulating layer. An opening is the formed extending through the photoresist layer and partially into the insulating layer. The opening in the insulating layer extends from a top surface of the insulating layer to a first depth. The photoresist layer is swelled to reduce the size of the opening in the photoresist layer. The opening is then extended in the insulating layer from the first depth to a second depth. The opening is wider from the top surface of the insulating layer to the first depth than the opening is from the first depth to the second depth. The opening is then filled with a conductive material to form a T-gate structure.
    Type: Grant
    Filed: July 20, 2000
    Date of Patent: August 7, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christopher F. Lyons, Ramkumar Subramanian, Bhanwar Singh, Marina Plat