Sequential Etching Steps On A Single Layer Patents (Class 438/734)
  • Patent number: 6620737
    Abstract: The temperature of the specimen holder 6 in the vacuum container 1 is lowered with the thermal control unit 11 to adjust the temperature of the specimen 7 composed of a silicon substrate to a low temperature of 0° C. or lower. Then, trenches are formed in the specimen 7 by plasma etching using an etching gas comprising SF6 as the main constituent and optionally O2 as an additive. Thus, the etching rate and the yield can be increased in the trench formation in the silicon semiconductor substrate.
    Type: Grant
    Filed: September 6, 2001
    Date of Patent: September 16, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Go Saito, Masamichi Sakaguchi, Hitoshi Kobayashi, Motohiko Yoshigai, Satoshi Tani
  • Patent number: 6620686
    Abstract: A capacitor includes an electrode that has an inner surface, an outer surface, and an end surface. At least one of the inner surface and the outer surface has hemispherical grain (HSG) nodules thereon, but the end surface is substantially devoid of HSG nodules. By maintaining the end surface of the electrode substantially devoid of HSG nodules, the mechanical strength and integrity of the end surface may not be degraded. Therefore, the frequency in which portions of the end surface break away during, for example, a cleaning process, and create electrical bridges with adjacent electrodes may be reduced.
    Type: Grant
    Filed: February 15, 2001
    Date of Patent: September 16, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-hyun Kim, Ki-hyun Hwang
  • Patent number: 6620560
    Abstract: Plasma treating a low-k dielectric layer (104) using an oxidation reaction (e.g., O2) to improve patterning. Resist poisoning occurs due to an interaction between low-k films (104), such as OSG, and DUV resist (130, 132). The plasma treatment is performed to either pretreat a low-k dielectric (104) before forming the pattern (130, 132), during a rework of the pattern (130, 132), or between via and trench patterning to reduce resist poisoning.
    Type: Grant
    Filed: October 11, 2001
    Date of Patent: September 16, 2003
    Assignee: Texax Instruments Incorporated
    Inventors: Ping Jiang, Guoqiang Xing, Andrew J. McKerrow, Robert Kraft, Hyesook Hong
  • Patent number: 6617249
    Abstract: A method for fabricating a resonator, and in particular, a thin film bulk acoustic resonator (FBAR), and a resonator embodying the method are disclosed. An FBAR is fabricated on a substrate by introducing a mass loading top electrode layer. For a substrate having multiple resonators, the top mass loading electrode layer is introduced for only selected resonator to provide resonators having different resonance frequencies on the same substrate.
    Type: Grant
    Filed: March 5, 2001
    Date of Patent: September 9, 2003
    Assignee: Agilent Technologies, Inc.
    Inventors: Richard C. Ruby, John D. Larson, III, Paul D. Bradley
  • Patent number: 6617085
    Abstract: A method of forming sublithography gate lengths involves the steps of patterning the layer of resist above the gate stack (including a gate layer, hardmask layer and etch-control layer) to a desired gate length and etching the etch-control layer and the hardmask layer; the portion of the circuit that has the correct gate length is covered with a blocking mask and the hardmask in the remainder is wet-etched to reduce its dimension, after which the gate stack is etched using both gate lengths of hardmask to produce different gate lengths in different areas.
    Type: Grant
    Filed: August 16, 2002
    Date of Patent: September 9, 2003
    Assignee: International Business Machines Corporation
    Inventors: Babar A. Kanh, Naim Moumen, Wesley Charles Natzle, Chienfan Yu
  • Publication number: 20030153158
    Abstract: A method for increasing area of a trench capacitor. First, a first oxide layer and a first nitride layer are sequentially formed on a substrate. An opening is formed through the first oxide layer and the first nitride layer into the substrate. A part of the first oxide layer exposed in the opening is removed to form a first recess, and then a second nitride layer is formed therein. A second oxide layer is formed in the lower portion of the opening. After a third nitride layer is formed in the upper portion of the opening, the second oxide layer is removed. The substrate in the opening is etched using the first nitride layer, the second nitride layer and the third nitride layer as a mask to form a second recess in the lower portion of the opening. The second nitride layer and the third nitride layer are then removed.
    Type: Application
    Filed: December 17, 2002
    Publication date: August 14, 2003
    Applicant: Nanya Technology Corporation
    Inventors: Hsin-Jung Ho, Chang Rong Wu, Yi-Nan Chen, Tung-Wang Huang
  • Patent number: 6605547
    Abstract: An electrical standoff has a dielectric substrate with opposing horizontal surfaces and at least two opposing vertical end walls. A transmission structure having planar elements is formed on the at least one of the horizontal surfaces with the planar elements of the transmission structure extending to the two opposing vertical end walls. The electrical standoff is formed from a wafer of dielectric material having at least a first transmission structure formed thereon. A low temperature water soluble wax is applied over the transmission structure and a protective covering is placed over the water soluble wax. The wafer is sawn to form the electrical standoff with the electrical standoff having two opposing sawn vertical end walls intersecting the planar elements of the transmission structure. The protective covering and the low temperature water soluble wax are removed from the electrical standoff.
    Type: Grant
    Filed: May 23, 2002
    Date of Patent: August 12, 2003
    Assignee: Tektronix, Inc.
    Inventor: Kei-Wean C. Yang
  • Patent number: 6605526
    Abstract: A method for forming a wirebond connection to an integrated circuit structure includes forming an insulative structure overlaying a corrosion susceptible metal wiring within the integrated circuit structure, defining a via through the insulative structure above a portion of the corrosion susceptible metal without exposing the portion of the corrosion susceptible metal, and attaching a wirebond material to the portion of the corrosion susceptible metal. The attaching process includes a preliminary process of exposing the portion of the corrosion susceptible metal. The attaching completely covers the portion of the corrosion susceptible metal.
    Type: Grant
    Filed: March 16, 2000
    Date of Patent: August 12, 2003
    Assignee: International Business Machines Corporation
    Inventors: Wayne John Howell, Ronald Lee Mendelson, William Thomas Motsiff, Jean-Guy Quintal, Sylvain Ouimet
  • Patent number: 6605478
    Abstract: A kill index classification method for prioritizing relational aspects of topological defect intersections, particularly in association with an intermediate analytical testing stage of a multi-stage semiconductor fabrication process. The method relates to an analysis of the geometrical relationship between non-predetermined portion(s), generally referred to as defects, and the surrounding predetermined topology of a conductive semiconductor pattern, to determine the effect of defects on the functionality and reliability of a wafer, and particularly an examined die thereon. Further, in accordance with this geometrical information, a preferred classification of the effects of defects into a numerical value, the “kill index”, is achieved. Preferably, this kill index is strongly linked, correlated and related to the damage caused by the defect to the functionality and/or reliability of the underlying integrated circuit.
    Type: Grant
    Filed: March 30, 2001
    Date of Patent: August 12, 2003
    Assignee: Appleid Materials, Inc,
    Inventors: Ayelet Pnueli, Ariel Ben-Porath
  • Patent number: 6605546
    Abstract: A method for forming a semiconductor device comprises forming a first layer over a semiconductor substrate. At least one hole is formed through the first layer. A bottom anti-reflective coating (BARC) layer is formed in the at least one hole. A first heating is performed to heat the BARC layer to a flow temperature. A second heating is performed to heat the BARC layer to a hardening temperature so that the BARC layer hardens, wherein the hardening temperature is greater than the flow temperature. An etch is performed to form a trench in the first layer and over the at least one hole, wherein the hardened BARC layer in the at least one hole acts as an etch resistant layer during the etch. As an alternative to the second heating step, the BARC may be simply hardened. The first and second heating may be performed within a heating chamber without removing the semiconductor substrate.
    Type: Grant
    Filed: July 11, 2001
    Date of Patent: August 12, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ramkumar Subramanian, Wolfram Grundke, Bhanwar Singh, Christopher F. Lyons, Marina V. Plat
  • Patent number: 6600231
    Abstract: An implementation base (10) is formed of a silicon substrate (11) having a recess (12) on a surface. Wire layers (13) are formed on the silicon substrate (11), continuously extending from the bottom of and via the side of the recess (12) to the top surface. A semiconductor chip (14) is implemented in the recess (12) of the implementation base (10) in a flip-chip manner to configure a functional device unit.
    Type: Grant
    Filed: May 10, 2001
    Date of Patent: July 29, 2003
    Assignee: Mitutoyo Corporation
    Inventor: Atsushi Tominaga
  • Patent number: 6593235
    Abstract: A semiconductor device having an improved contact hole through an interlayer insulator. A first insulating film comprising silicon nitride is deposited. A second insulating film comprising silicon oxide is deposited on the first insulating film. The deposition condition of the second insulating film is varied during the deposition so that the etching rate of the second insulating film increases from a lower portion toward an upper portion. Thereby, a contact hole which is formed by etching through the first and second insulating films has a tapered configuration to improve a reliability of a connection made therein.
    Type: Grant
    Filed: April 18, 2002
    Date of Patent: July 15, 2003
    Assignee: Semiconductor Energy Laboratory Co., Ltd
    Inventors: Hideki Uochi, Masahiko Hayakawa, Mitsunori Sakama, Toshimitsu Konuma, Shunpei Yamazaki
  • Patent number: 6589875
    Abstract: In one illustrative embodiment, the method includes providing a wafer including at least one non-production area, forming a process layer above the wafer, forming a masking layer above the process layer, the masking layer being patterned so as to expose a portion of the process layer formed above the at least one non-production area, and performing a process operation on the exposed portion of the process layer formed above the at least one non-production area. In another aspect, the present invention is directed to a system that includes a controller for identifying at least one non-production area of a wafer, a photolithography tool for forming a masking layer above the process layer, the masking layer being patterned so as to expose a portion of the process layer formed above the at least one non-production area, and an etch tool for performing an etching process on the exposed portion of the process layer formed above the at least one non-production area.
    Type: Grant
    Filed: August 2, 2001
    Date of Patent: July 8, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christopher A. Bode, Alexander J. Pasadyn
  • Patent number: 6589881
    Abstract: A method of forming a dual damascene structure. A substrate having a conductive layer thereon is provided. A passivation layer, a first dielectric layer, an etching stop layer, a second dielectric layer and cap layer serving as a base anti-reflection coating are sequentially formed over the substrate. The cap layer and the second dielectric layer are patterned to form a first opening that exposes a portion of the etching stop layer. A patterned negative photoresist layer having a second opening therein is formed above the cap layer. The cap layer exposed by the second opening and the second dielectric layer exposed by the first opening are removed. Thereafter, the second dielectric layer exposed by the second opening is removed to form a trench and the first dielectric layer exposed by the first opening is removed to form a via opening. The passivation layer exposed by via opening and then the negative photoresist layer is removed.
    Type: Grant
    Filed: November 27, 2001
    Date of Patent: July 8, 2003
    Assignee: United Microelectronics Corp.
    Inventors: I-Hsiung Huang, Jiunn-Ren Hwang, Kuei-Chun Hung, Ching-Hsu Chang
  • Patent number: 6589715
    Abstract: A process for etching a PPMS layer that increases the etch selectivity of PPMS relative to PPMSO from an initial low etch selectivity to a higher etch selectivity at a later stage of the etching process. In some embodiments, the etch selectivity used during a first etching step of the process is less than 4:1 and the etch selectivity used during a second etching step, subsequent to the first step, is greater than 5:1. In some other embodiments, the etch selectivity of the first step is between 2-3:1 and the etch selectivity of the second step is greater than 8:1. Optionally, in still other embodiments a third etching step, performed between the first and second etching steps may be employed where the etch selectivity is between 3-8:1.
    Type: Grant
    Filed: March 15, 2001
    Date of Patent: July 8, 2003
    Assignees: France Telecom, Applied Materials, Inc.
    Inventors: Olivier Joubert, Cedric Monget, Timothy Weidman, Dian Sugiarto, David Mui
  • Publication number: 20030114013
    Abstract: A structure useful for electrical interconnection comprises a substrate; a plurality of porous dielectric layers disposed on the substrate; an etch stop layer disposed between a first of the dielectric layers and a second of the dielectric layers; and at least one thin, tough, non-porous dielectric layer disposed between at least one of the porous dielectric layers and the etch stop layer. A method for forming the structure comprising forming a multilayer stack of porous dielectric layers on the substrate, the stack including the plurality of porous dielectric layers, and forming a plurality of patterned metal conductors within the multilayer stack. Curing of the multilayer dielectric stack may be in a single cure step in a furnace. The application and hot plate baking of the individual layers of the multi layer dielectric stack may be accomplished in a single spin-coat tool, without being removed, to fully cure the stack until all dielectric layers have been deposited.
    Type: Application
    Filed: November 8, 2002
    Publication date: June 19, 2003
    Applicant: International Business Machines Corporation
    Inventors: Jeffrey C. Hedrick, Kang-Wook Lee, Kelly Malone, Christy S. Tyberg
  • Patent number: 6579809
    Abstract: The invention provides a method of small geometry gate formation on the surface of a high-k gate dielectric wherein process complexity and processing costs are reduced while throughput and overall process efficiency is improved. The method may utilize photolithography illumination of 157 nm, 193 nm, 248 nm, or other suitable wavelengths to mask a gate region. An aggressive mask trim may be used to reduce the mask size such that it masks a narrow gate region. A hard mask is then fabricated over the narrow gate region and the gate and high-k dielectric are etched to expose the silicon substrate. The entire etch sequence can be performed in-situ within a single gate etch chamber.
    Type: Grant
    Filed: May 16, 2002
    Date of Patent: June 17, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Chih-Yuh Yang, Cyrus E. Tabery
  • Patent number: 6579806
    Abstract: The present invention relates to a method of etching tungsten or tungsten nitride in semiconductor structures. We have discovered a method of etching tungsten or tungsten nitride which permits precise etch profile control while providing a rapid etch rate. In particular, the method employs the use of a plasma source gas where the chemically functional etchant species are generated from a combination of sulfur hexafluoride (SF6) and nitrogen (N2), where the sulfur hexafluoride and nitrogen are provided in a volumetric flow rate ratio within the range of about 1:2.5 to about 6:1.
    Type: Grant
    Filed: May 7, 2002
    Date of Patent: June 17, 2003
    Assignee: Applied Materials Inc.
    Inventors: Padmapani Nallan, Hakeem Oluseyi
  • Publication number: 20030109144
    Abstract: A process for selectively etching silicon from a workpiece without etching silicon oxide or silicon nitride. The principal etchant gas is molecular fluorine gas (F2) that is not excited to a plasma state.
    Type: Application
    Filed: December 24, 2002
    Publication date: June 12, 2003
    Applicant: Applied Materials, Inc.
    Inventors: Haruhiro Harry Goto, William R. Harshbarger, Kam S. Law
  • Patent number: 6576515
    Abstract: A method of forming a transistor gate. A substrate having a source/drain terminals, a gate dielectric layer, a lower section of a floating gate, a dielectric layer over the substrate is provided. The dielectric layer has an opening that exposes a portion of the upper surface of the lower section of the floating gate. A conductive material layer having slant exterior sidewalls is formed over the dielectric layer. The conductive material layer fills the via opening completely. A mask material layer is formed over the conductive layer. A mask material layer is formed over the conductive layer. A planarization is carried out to remove a portion of the mask material layer, thereby forming an etching mask layer that exposes the upper surface of the conductive layer. Using the etching mask layer as a mask, an anisotropic slant etching is carried out to etch the conductive layer to a predefined depth so that an opening in the upper section of the floating gate is formed. The etching mask layer is then removed.
    Type: Grant
    Filed: November 30, 2000
    Date of Patent: June 10, 2003
    Assignee: Macronix International Co., Ltd.
    Inventor: Ching-Yu Chang
  • Patent number: 6576563
    Abstract: The present invention provides a method of manufacturing a semiconductor device. In one embodiment, the method includes forming a positive relief structure from a material located on a substrate, the step of forming the positive relief structure leaving an unwanted remnant of said material proximate a base of the positive relief structure. The method further includes cleaning the positive relief structure. In addition, the method includes removing the unwanted remnant with a gas containing fluorine and that is substantially free of hydrogen.
    Type: Grant
    Filed: October 26, 2001
    Date of Patent: June 10, 2003
    Assignee: Agere Systems Inc.
    Inventors: Stephen W. Downey, Edward B. Harris, Paul B. Murphey
  • Patent number: 6569778
    Abstract: A fine pattern forming method of a semiconductor device sequentially deposits an etch-target layer to be formed as the fine pattern, an anti-reflective layer and a photoresist film on a prepared semiconductor substrate and forms a photoresist pattern by performing photolithography for the photoresist film with an ArF exposure source. Then, two etching processes are performed to form the fine pattern. In one etching process, there are etched the anti-reflective layer and a portion of a non-pattern area of the etch-target layer at a first substrate temperature with fluorine-based gas and argon gas by using the photoresist pattern as an etching mask. In the other etching process, there is etched a remaining portion of the non-pattern area of the etch-target layer at a second substrate temperature higher than the first substrate temperature with fluorine-based gas and argon gas.
    Type: Grant
    Filed: June 10, 2002
    Date of Patent: May 27, 2003
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung-Kwon Lee, Chang-Youn Hwang
  • Patent number: 6569777
    Abstract: A method for plasma etching a semiconductor feature to improve an etching profile including providing a semiconductor wafer comprising a first feature opening anisotropically etched though a thickness portion of at least one dielectric insulating layer; anisotropically etching a second feature opening overlying and at least partially encompassing the first feature opening according to a reactive ion etch (RIE) process to leave an unetched portion surrounding a first feature opening portion at about a bottom portion level of the second feature opening; and, plasma treating the first and second openings with a plasma formed of a mixture of oxygen and nitrogen plasma source gases including an applying an independently variable RF bias power source to the semiconductor wafer to remove the unetched portion.
    Type: Grant
    Filed: October 2, 2002
    Date of Patent: May 27, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Jyh-Shiou Hsu, Feng-Yueh Chang, Pin-Yi Hsin
  • Publication number: 20030096506
    Abstract: A method for controlling striations and CD loss in a plasma etching method is disclosed. During the etching process, the substrate of semiconductor material to be etched is exposed first to plasma under a low power strike and subsequently to a conventional high power strike. CD loss has been found to be reduced by about 400 Angstroms and striations formed in the contact holes are reduced.
    Type: Application
    Filed: November 26, 2001
    Publication date: May 22, 2003
    Inventors: Li Li, Bradley J. Howard
  • Patent number: 6566258
    Abstract: An inter-level metallization structure and the method of forming it, preferably based on copper dual damascene in which the lower-metal level is formed with a exposed metallization and an adjacent, embedded stop layer, both the metallization and embedded stop layer have exposed surfaces approximately level with each other with a lower dielectric layer. The upper-metal level includes a second stop layer deposited over the embedded stop layer and the first metallization and a second dielectric layer. An inter-level via is etched through the second dielectric layer and through the second stop layer and metal is filled into the via to contact the metallization. If the inter-level via is offset over the edge of the metallization, the metal in the via contacts the embedded stop layer and not the first dielectric layer, whereby the embedded stop layer acts as a copper diffusion barrier.
    Type: Grant
    Filed: May 10, 2000
    Date of Patent: May 20, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Girish A. Dixit, Fusen Chen
  • Patent number: 6562723
    Abstract: A method of manufacturing an integrated circuit which reduces damage to the underlying base layer and the created oxide structures is disclosed herein. The method includes providing a hybrid stack disposed over an underlying layer, providing an IC structure pattern over the hybrid stack, selectively removing the top layer and a portion of the bottom layer according to the IC structure pattern, leaving a protective portion of the bottom layer according to the IC structure pattern, removing the protective portion of the bottom layer, building oxide structures in the underlying layer according to the IC structure pattern, and removing remaining portions of the hybrid stack.
    Type: Grant
    Filed: October 29, 1999
    Date of Patent: May 13, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bharath Rangarajan, Jeffrey A. Shields, Ursula Q. Quinto
  • Patent number: 6562722
    Abstract: A method and apparatus for dry etching changes at least one of the effective pumping speed of a vacuum chamber and the gas flow rate to alter the processing of an etching pattern side wall of a sample between first and second conditions. The first and second conditions include the presence or absence of a deposit film, or the presence, absence or shape of a taper angle. Various parameters for controlling the first and second conditions are contemplated.
    Type: Grant
    Filed: December 21, 2001
    Date of Patent: May 13, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Takao Kumihashi, Kazunori Tsujimoto, Shinichi Tachi
  • Patent number: 6562416
    Abstract: Low resistant vias are formed by sequentially treating an opening in an interlayer dielectric and the exposed surface of a lower metal feature with an NH3 plasma followed by a N2/H2 plasma, thereby removing any oxide on the metal surface and removing residual polymers or polymeric deposits generated during etching to form the opening. Embodiments include forming a dual damascene opening in a low-k interlayer dielectric exposing the upper surface of a lower Cu or Cu alloy feature, sequentially treating the opening and the upper surface of the lower metal feature with an NH3 plasma and then with a N2/H2 plasma, Ar sputter etching, depositing a barrier layer lining the opening, depositing a seedlayer and filling the opening with Cu or a Cu alloy.
    Type: Grant
    Filed: May 2, 2001
    Date of Patent: May 13, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Robert A. Huertas, Dawn Hopper
  • Publication number: 20030087524
    Abstract: A cleaning solution having an oxidation-reduction potential lower than that of pure water and a pH value of 4 or below is used to remove metal contamination, thereby efficiently removing the metal contamination adhered onto a surface of a substrate without damaging an underlayer.
    Type: Application
    Filed: October 31, 2002
    Publication date: May 8, 2003
    Applicant: NEC CORPORATION
    Inventors: Hidemitsu Aoki, Hiroaki Tomimori, Kenichi Yamamoto
  • Patent number: 6559062
    Abstract: A process (100) for forming a metal interconnect (102) in a semiconductor device (82) using a photoresist layer (20) having a thickness (T) of no more than 0.66 microns without forming a notch in the side (30) of the interconnect. A reactive ion etching process (118) used to remove portions of a metal layer (16) to form the interconnect includes a burst etch step (108) wherein a first high flow rate (48) of passivation gas is delivered, followed by a main metal etch step (110) wherein the flow rate of passivation gas is reduced to a second lower value.
    Type: Grant
    Filed: November 15, 2000
    Date of Patent: May 6, 2003
    Assignee: Agere Systems, Inc.
    Inventors: Stephen Ward Downey, Allen Yen, Thomas Michael Wolf, Paul B. Murphey
  • Patent number: 6555474
    Abstract: A method of forming a protective layer included in a metal filled semiconductor feature including providing a substrate including an insulating dielectric material having an anisotropically etched opening for forming a semiconductor feature; conformally depositing over the semiconductor feature at least one metal layer to substantially fill the semiconductor feature at least a portion of the at least one metal layer containing dopant impurities; and, thermally treating the substrate for a time period sufficient to redistribute the dopant impurities to preferentially collect along the periphery of the at least one metal layer.
    Type: Grant
    Filed: January 29, 2002
    Date of Patent: April 29, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Cheng Lin Huang, Minghsing Tsai, Winston Shue, Mong-Song Liang
  • Patent number: 6555481
    Abstract: A method of manufacturing a semiconductor device including the steps of: (a) forming an interlayer insulating film over a semiconductor substrate; (b) forming a first mask on the interlayer insulating film, the first mask having a plurality of stripe patterns parallel to a first direction, and etching the interlayer insulating film from a surface thereof to a first intermediate depth to form a groove; and (c) forming a second mask on the interlayer insulating film, the second mask having a plurality of stripe patterns parallel to a second direction crossing the first direction, and etching the interlayer insulating film by a remaining thickness thereof in an area corresponding to the groove and not covered with the second mask to form an opening, and in an area other than the area corresponding to the groove to form a second groove reaching a second intermediate depth from a surface of the interlayer insulating film.
    Type: Grant
    Filed: December 27, 2000
    Date of Patent: April 29, 2003
    Assignee: Fujitsu Limited
    Inventor: Shunji Nakamura
  • Patent number: 6554002
    Abstract: A method for removing fluorine-containing etching residues during dual damascene process comprises providing a dual damascene structure having a copper conductor structure therein, a cap layer formed on the copper conductor structure and the dual damascene structure, and a low dielectric constant dielectric layer on the cap layer. The low dielectric constant dielectric layer formed by spin-on polymer method has at least an opening above the copper conductor structure. The cap layer is etched by fluorine-containing plasma to expose the copper conductor structure. The dual damascene structure is cleaned with a solvent and then the fluorine-containing etching residues are removed by plasma sputtering treatment or baking, or by a combination of both. The addition of baking and plasma sputtering treatment can prevent poor adhesion between the subsequent metal diffusion barrier layer and the low dielectric constant dielectric layer.
    Type: Grant
    Filed: February 21, 2001
    Date of Patent: April 29, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Chih-Ning Wu, Cheng-Yuan Tsai, Chan-Lon Yang
  • Patent number: 6551944
    Abstract: A process including the steps of: carrying out a directional etching in a semiconductor material body to form trenches having a first width; carrying out an isotropic etching of the semiconductor material body under the trenches to form cavities having a width larger than the trenches; covering the walls of the cavities with dielectric material; depositing non-conducting material different from thermal oxide to fill the cavities at least partially, so as to form a single-crystal island separated from the rest of the semiconductor material body. The isotropic etching permits the formation of at least two adjacent cavities separated by a support region of semiconductor material, which is oxidized together with the walls of the cavities to provide a support to the island prior to filling with non-conducting material.
    Type: Grant
    Filed: April 6, 2000
    Date of Patent: April 22, 2003
    Assignee: STMicroelectronics S.r.l.
    Inventors: Piero Giorgio Fallica, Davide Giuseppe Patti, Cirino Rapisarda
  • Patent number: 6551942
    Abstract: The invention encompasses methods for etching and/or over-etching tungsten stack structures, especially tungsten-polysilicon stack structures. The etching methods of the invention preferably employ a Cl2/NF3 etchant, optionally including O2 and/or helium. The over-etching methods of the invention preferably use a NF3/N2/O2 etchant. The methods of the invention enable effective etching of tungsten-polysilicon stacks where topographic variation is present across the substrate and/or where other tungsten stacks of different structure are also being etched.
    Type: Grant
    Filed: June 15, 2001
    Date of Patent: April 22, 2003
    Assignee: International Business Machines Corporation
    Inventor: Munir D. Naeem
  • Patent number: 6551941
    Abstract: A method of forming a notch silicon-containing gate structure is disclosed. This method is particularly useful in forming a T-shaped silicon-containing gate structure. A silicon-containing gate layer is etched to a first desired depth using a plasma generated from a first source gas. During the etch, etch byproducts deposit on upper sidewalls of the silicon-containing gate layer which are exposed during etching, forming a first passivation layer which protects the upper silicon-containing gate layer sidewalls from etching during subsequent processing steps. A relatively high substrate bias power is used during this first etch step to ensure that the passivation layer adheres properly to the upper silicon-containing gate sidewalls.
    Type: Grant
    Filed: February 22, 2001
    Date of Patent: April 22, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Chan-syun David Yang, Meihua Shen, Oranna Yauw, Jeffrey D. Chinn
  • Patent number: 6551930
    Abstract: A method for etching an organic dielectric material layer includes depositing an inorganic barrier layer on the organic dielectric material layer, and depositing an inorganic masking layer on the inorganic barrier layer. A masking resin layer is deposited on the inorganic masking layer. The method further includes patterning the masking resin layer and etching through the inorganic masking layer to expose the inorganic barrier layer. Remaining portions of the masking resin layer are removed, and the exposed inorganic barrier layer is etched to expose the organic dielectric material layer. The method further includes removing remaining portions of the inorganic masking layer, and etching the exposed organic dielectric material layer while using the inorganic barrier layer as a mask.
    Type: Grant
    Filed: June 7, 2000
    Date of Patent: April 22, 2003
    Assignee: STMicroelectronics S.A.
    Inventors: Françoise Vinet, Yves Morand
  • Patent number: 6537921
    Abstract: The present invention includes methods and apparatus as described in the claims. Briefly, semiconductor diodes having a low forward conduction voltage drop, a low reverse leakage current, a high voltage capability and avalanche energy capability, suitable for use in integrated circuits as well as for discrete devices are disclosed. The semiconductor diodes are diode configured vertical cylindrical metal oxide semiconductor field effect devices having one diode terminal as the common connection between the gates and drains of the vertical cylindrical metal oxide semiconductor field effect devices, and one diode terminal as the common connection with the sources of the vertical cylindrical metal oxide semiconductor field effect devices. The method of manufacturing the vertical cylindrical metal oxide semiconductor field effect devices is disclosed. Various device terminations can be employed to complete the diode devices. Various embodiments are disclosed.
    Type: Grant
    Filed: May 23, 2001
    Date of Patent: March 25, 2003
    Assignee: VRAM Technologies, LLC
    Inventor: Richard A. Metzler
  • Patent number: 6533953
    Abstract: In one embodiment, the invention includes a method of removing at least a portion of a material from a substrate. The method includes providing a substrate in a reaction chamber, the substrate having a material supported thereover, and first etching the material while the substrate is in the reaction chamber. The method also includes, after the first etching, cleaning a component from at least one sidewall of the reaction chamber while the substrate remains therein; the component comprising a species that is present in the material. The cleaning includes exposing the sidewall and substrate to conditions which substantially selectively remove the component from the sidewall while not removing the material from the substrate, and not etching any other materials supported by the substrate. After the cleaning, the method includes second etching the material while the substrate is in the reaction chamber.
    Type: Grant
    Filed: February 28, 2001
    Date of Patent: March 18, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Tuman Earl Allen, III
  • Patent number: 6534384
    Abstract: A method for manufacturing an SOI wafer. The method includes forming an oxide film on a surface of at least one silicon wafer of two silicon wafers. The method also includes bonding the silicon wafers through the oxide film at room temperature to form a room temperature bond end, one of the two silicon wafers being a bond wafer. The method further includes heat treating the wafers in an oxidizing atmosphere to form a heat treatment bond end. Thereafter, an outer periphery of the bond wafer is removed from an outer peripheral edge of the bond wafer up to a region between the room temperature bond end and the heat treatment bond end. The thickness of the bond wafer is reduced to form an SOI layer.
    Type: Grant
    Filed: June 3, 1999
    Date of Patent: March 18, 2003
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Masatake Nakano, Katsuo Yoshizawa
  • Patent number: 6530380
    Abstract: A method for completely removing dielectric layers formed selectively upon a substrate employed within a microelectronics fabrication from regions wherein closely spaced structures such as self-aligned metal silicide (or salicide) electrical contacts may be fabricated, with improved properties and with attenuated degradation. There is first provided a substrate with employed within a microelectronics fabrication having formed thereon patterned microelectronics layers with closely spaced features. There is then formed a salicide block layer employing silicon oxide dielectric material which may be selectively doped. There is then formed over the substrate a patterned photoresist etch mask layer. There is then etched the pattern of the patterned photoresist etch mask layer employing dry plasma reactive ion etching. An anhydrous etching environment is then employed to completely remove the silicon oxide dielectric salicide block layer with attenuated degradation of the microelectronics fabrication.
    Type: Grant
    Filed: November 19, 1999
    Date of Patent: March 11, 2003
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Mei Sheng Zhou, Vincent Sih, Simon Chooi, Zainab Bte Ismail, Ping Yu Ee, Sang Yee Loong
  • Patent number: 6531067
    Abstract: The subject of the present invention is to keep the wiring resistance low and reduce the variation of the wiring resistance in one identical lot in semiconductor devices of a multi level interconnect structure in which at least the lower wiring layer is an aluminum wiring layer. Contact holes (31, 51) are formed in dielectric interlayers (3, 5) of upper and lower wiring layers (1, 2, 4) by dry etching. In the method of forming the contact holes of the invention, the dry etching was applied in two steps divisionally. The first step of etching is applied with supplying CF4, CHF3, Ar and N2 into an etching chamber. The second step of etching is conducted with supplying CF4, CHF3 and Ar into the etching gas chamber.
    Type: Grant
    Filed: August 25, 2000
    Date of Patent: March 11, 2003
    Assignee: Asahi Kasei Microsystems Co., Ltd.
    Inventors: Nagamasa Shiokawa, Atsushi Yamamoto
  • Patent number: 6531349
    Abstract: A method for fabricating a semiconductor device including the steps of: sequentially forming an oxide film and a polycrystalline silicon film overlying a substrate; and selectively dry-etching the polycrystalline silicon film in consecutive two processes including a main etching process for dry-etching the polycrystalline silicon film under existence of Cl2, HBr and CF4 and an over-etching process for dry-etching the polycrystalline silicon film under existence of HBr and oxygen. In the process, the polycrystalline silicon film is etched in the main etching process with the excellent dimension controllability and is etched in the over etching process with the higher selective ratio between the polycrystalline silicon film and the gate oxide film.
    Type: Grant
    Filed: February 21, 2001
    Date of Patent: March 11, 2003
    Assignee: NEC Corporation
    Inventors: Kazuyoshi Yoshida, Nobuyuki Ikezawa
  • Patent number: 6527968
    Abstract: A process for etching a substrate 25 in an etching chamber 105, and simultaneously removing etch residue deposited on the surfaces of the walls 110 and components of the etching chamber 105. In one version, a two-stage method of opening a nitride mask layer on the substrate includes a first stage of providing a highly chemically reactive process gas in the chamber 105 to etch the nitride layer 32 and/or an underlying oxide layer 34, and a second stage of providing a less chemically reactive process gas in the chamber to etch the nitride layer 32 and/or the oxide layer 34 at a slower rate than the first stage. The first and second stage process gases may each comprise a fluorine containing gas, with the fluorine ratio of the first gas higher than the fluorine ratio of the second gas.
    Type: Grant
    Filed: March 27, 2000
    Date of Patent: March 4, 2003
    Assignee: Applied Materials Inc.
    Inventors: Xikun Wang, Scott Williams, Shaoher X. Pan
  • Publication number: 20030040192
    Abstract: After forming a resist pattern on an insulating film deposited on a semiconductor substrate, the insulating film is subjected to plasma etching using an etching gas including carbon and fluorine with the resist pattern used as a mask. A polymer film having been deposited on the resist pattern during the plasma etching is subjected to a first stage of ashing with a relatively low chamber pressure and relatively low plasma generation power by using an oxygen gas or a gas including oxygen as a principal constituent. A residual polymer present on the insulating film in completing the first stage of the ashing is subjected to a second stage of the ashing with a relatively high chamber pressure and relatively high plasma generation power by using an oxygen gas or a gas including oxygen as a principal constituent.
    Type: Application
    Filed: April 24, 2002
    Publication date: February 27, 2003
    Applicant: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.
    Inventor: Kenshi Kanegae
  • Patent number: 6518192
    Abstract: A two-step etch method for etching a masked layer or layers that include fast and slow etching regions is described. Fast and slow etching regions may arise in a variety of devices, such as microelectrical mechanical system (“MEMS”) applications and mixed signal (i.e. analog and digital) integrated circuits, as well as other integrated circuits and devices. In one embodiment, a first etchant is used to etch through the layer in the fastest etching region, and then a second etchant is used to complete etching through the layer in the slowest etching region.
    Type: Grant
    Filed: December 7, 2001
    Date of Patent: February 11, 2003
    Assignee: Applied Materials Inc.
    Inventors: Anisul Khan, Ajay Kumar, Jeffrey D. Chinn, Dragan Podlesnik
  • Patent number: 6513537
    Abstract: The present invention relates to a method of removing a polymer veil and a metal contamination deposited on a substrate having a metal layer. First, the polymer veils are removed by a chemical liquid in an inert gas atmosphere. Subsequently, the metal contamination are removed by oxidizing the metal contamination into metal oxide contamination by mixing oxygen in a small concentration in the inert gas atmosphere, and dissolving the metal oxide contamination by the chemical liquid.
    Type: Grant
    Filed: November 1, 2000
    Date of Patent: February 4, 2003
    Assignee: Tokyo Electron Limited
    Inventors: Takehiko Orii, Hiroki Ohno, Takashi Yabuta
  • Publication number: 20030022515
    Abstract: A material film forming method is provided which comprises coating a liquid material on a surface of a substrate to form a material film of the liquid material the on the surface of the substrate, while rotating the substrate, and drying the material film, while rotating the substrate and letting air or nitrogen gas blow onto a predetermined area of the material film.
    Type: Application
    Filed: July 22, 2002
    Publication date: January 30, 2003
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Seiji Nakagawa
  • Patent number: 6506680
    Abstract: In a semiconductor manufacturing method, etching control is provided by introducing a material containing a material having at least one of an —H, —C, —CH, —CH2, and —CH3 radical component, e.g., Si—O—C, in a lower portion or layer of a dielectric layer formed during a semiconductor manufacturing process. A semiconductor device made by the method includes a first dielectric layer of a material having a given amount of carbon formed on the semiconductor substrate, and a second dielectric layer of a material having a lesser amount of carbon formed on said first dielectric layer wherein the second dielectric layer has an etched pattern formed by etching the second dielectric layer to a depth determined by etching resistance of first dielectric layer.
    Type: Grant
    Filed: August 28, 2000
    Date of Patent: January 14, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Il-Goo Kim, Jae-Sung Hwang
  • Publication number: 20030003760
    Abstract: A method of coating a wafer with photoresist includes steps of injecting solvent on a wafer, subsequently applying photoresist onto the wafer, rotating the wafer at a constant speed, and directing a laminar flow of air towards the wafer as it is rotating. Since the solvent is injected onto the wafer prior to the photoresist, the surface tension and viscosity of the photoresist are lowered. The laminar airflow suppresses turbulence at the surface of the wafer, which turbulence is otherwise created by the act of rotating the wafer. To this end, a cylinder is raised to form a chamber over the wafer, and filtered air is blown into the cylindrical chamber. These measures make it is possible for the photoresist film to be formed with a uniform thickness.
    Type: Application
    Filed: May 31, 2002
    Publication date: January 2, 2003
    Inventors: Sung-Il Kim, Sung-Hyun Park, Jae-Kwan Song, Dong-Ho Cha, Yoon-Keun Lee, Young-Ho Park, Kyung-Suk An, Young-Su Oh