Sequential Etching Steps On A Single Layer Patents (Class 438/734)
  • Patent number: 7935640
    Abstract: A method of forming a damascene structure comprises preparing a film stack on the substrate, wherein the film stack comprises a SiCOH-containing layer formed on the substrate, a silicon oxide (SiOx) layer formed on the SiCOH-containing layer, and a first mask layer formed on the silicon oxide layer. A trench pattern is created in the first mask layer. The trench pattern in the first mask layer is transferred to the silicon oxide layer, and then the first mask layer is removed. A second mask layer is formed on the silicon oxide layer. A via pattern is formed in the second mask layer. The via pattern is transferred to the SiCOH-containing layer using a first etching process, and then the second mask layer is removed. The trench pattern is transferred to the SiCOH-containing layer using a second etching process with plasma formed from a process composition comprising NF3.
    Type: Grant
    Filed: August 10, 2007
    Date of Patent: May 3, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Yannick Feurprier
  • Publication number: 20110081784
    Abstract: A manufacturing method of a semiconductor device includes: forming step of forming an etching mask on a second main face of a substrate, the etching mask being made of Cu or Cu alloy and having an opening, the second main face being on an opposite side of a first main face of the substrate where a nitride semiconductor layer is provided; a first etching step of applying a dry etching to the second main face of the substrate with use of the etching mask so that all of or a part of the nitride semiconductor layer is left; a removing step of removing the etching mask after the first etching step; and a second etching step of dry-etching the left nitride semiconductor layer after the removing step.
    Type: Application
    Filed: September 29, 2010
    Publication date: April 7, 2011
    Applicant: SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC.
    Inventors: Toshiyuki Kosaka, Haruo Kawata, Tsutomu Komatani
  • Patent number: 7919367
    Abstract: A non-volatile memory cell with increased charge retention is fabricated on the same substrate as logic devices using a single-gate conventional logic process. A silicide-blocking dielectric structure is formed over a floating gate of the NVM cell, thereby preventing silicide formation over the floating gate, while allowing silicide formation over the logic devices. Silicide spiking and bridging are prevented in the NVM cell, as silicide-blocking dielectric structure prevents silicide metal from coming in contact with the floating gate or adjacent sidewall spacers. The silicide-blocking dielectric layer may expose portions of the active regions of the NVM cell, away from the floating gate and adjacent sidewall spacers, thereby enabling silicide formation on these portions. Alternately, the silicide-blocking dielectric layer may cover the active regions of the NVM cell during silicide formation. In this case, silicide-blocking dielectric layer may be thinned or removed after silicide formation.
    Type: Grant
    Filed: January 28, 2008
    Date of Patent: April 5, 2011
    Assignee: MoSys, Inc.
    Inventors: Gang-feng Fang, Dennis Sinitsky, Wingyu Leung
  • Patent number: 7910485
    Abstract: A method for forming a contact hole in a semiconductor device includes forming an insulation layer over a substrate, forming a hard mask pattern over the insulation layer, forming a first contact hole by partially etching the insulation layer, forming a spacer on sidewalls of the first contact hole, forming a second contact hole to expose the substrate by etching the remaining insulation layer within the first contact hole, forming a third contact hole by horizontally etching the second contact hole, wherein a line width of the third contact hole is wider than that of the first contact hole, and removing the hard mask pattern and the spacer.
    Type: Grant
    Filed: December 10, 2007
    Date of Patent: March 22, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hong-Gu Yi
  • Patent number: 7910477
    Abstract: Methods for forming dual damascene interconnect structures are provided. The methods incorporate an ashing operation comprising a first ash operation and a second overash operation. The ashing operation is performed prior to etching of an etch stop layer. The operation removes residue from a cavity formed during formation of the interconnect structure and facilitates better CD control without altering the cavity profiles.
    Type: Grant
    Filed: December 28, 2007
    Date of Patent: March 22, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Jeannette Michelle Jacques, Deepak A. Ramappa
  • Patent number: 7910481
    Abstract: A method for fabricating a semiconductor device includes forming an interlayer dielectric layer having a plurality of contact holes over a substrate, forming a conductive layer by filling the contact holes to cover the interlayer dielectric layer, performing a first main etch process to partially etch the conductive layer to form a first conductive layer, performing a second main etch process to etch the first conductive layer using an etch gas having a slower etch rate with respect to the first conductive layer than an etch gas used in the first main etch process until an upper surface of the interlayer dielectric layer is exposed to form a second conductive layer, and performing an over-etch process to etch a certain portion of the second conductive layer, and at the same time, to etch a certain portion of the interlayer dielectric layer to form a landing plug.
    Type: Grant
    Filed: December 17, 2009
    Date of Patent: March 22, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sang-Soo Park, Seung-In Shin
  • Publication number: 20110045615
    Abstract: A manufacturing method of a semiconductor device using a semiconductor manufacturing unit comprising a reaction chamber, a substrate mounting stage, and a high frequency power supply coupled to the substrate mounting stage, a blocking capacitor interposed between the substrate mounting stage and the high-frequency power supply to continuously perform a plurality of dry etching processing with respect to the same substrate in the same reaction chamber, the method includes: disposing a substrate on a substrate mounting stage, and applying high-frequency powers to the substrate mounting stage while introducing a fluorocarbon-based first gas to perform a first dry etching processing with respect to the substrate, the substrate including an organic material film and a silicon compound film sequentially deposited on a surface thereof and a resist film patterned on the silicon compound film, the first dry etching processing including processing the silicon compound film with the resist film being used as a mask; and
    Type: Application
    Filed: October 27, 2010
    Publication date: February 24, 2011
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Mitsuhiro Omura
  • Patent number: 7871471
    Abstract: It is intended to prevent an increase in the quantity of particles on a test-piece substrate having undergone processing executed at a low temperature equal to or lower than 0° C. In an inspection method adopted when inspecting the state inside a processing chamber by measuring the quantity of particles on a test-piece substrate, i.e., a test-piece wafer, the test-piece wafer W having undergone a specific type of test processing inside the processing chamber is carried out into a transfer chamber via a loadlock chamber after holding it in the loadlock chamber over a predetermined length of time while delivering a dried inert gas into the loadlock chamber. The predetermined length of time is set to a value at which the increase in the quantity of particles on the test-piece wafer can be kept down at least within an acceptable range.
    Type: Grant
    Filed: January 31, 2008
    Date of Patent: January 18, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Yoshiyuki Kato
  • Patent number: 7867797
    Abstract: In a method of fabricating organic light emitting diode display, a planarization layer is annealed, cured, provided with an ashing treatment, and surface-treated to reduce roughness of the planarization layer. Therefore, it is possible to improve reduce problems such as a decrease in reflectivity and variation of color coordinates of the organic light emitting diode display due to the roughness of the planarization layer.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: January 11, 2011
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Soo-Beom Jo, Jong-Mo Yeo, Jong-Hoon Son, In-Young Jung, Kyung-Jin Yoo, Dae-Hyun No, Do-Hyun Kwon, Choong-Youl Im
  • Patent number: 7855151
    Abstract: A slot is formed that reaches through a first side of a silicon substrate to a second side of the silicon substrate. A trench is laser patterned. The trench has a mouth at the first side of the silicon substrate. The trench does not reach the second side of the silicon substrate. The trench is dry etched until a depth of at least a portion of the trench is extended approximately to the second side of the silicon substrate (12). A wet etch is performed to complete formation of the slot. The wet etch etches silicon from all surfaces of the trench.
    Type: Grant
    Filed: August 21, 2007
    Date of Patent: December 21, 2010
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Swaroop K. Kommera, Siddhartha Bhowmik, Richard J. Oram, Sriram Ramamoorthi, David M. Braun
  • Patent number: 7851370
    Abstract: A patterning method is provided. In the patterning method, a film is formed on a substrate and a pre-layer information is measured. Next, an etching process is performed to etch the film. The etching process includes a main etching step, an etching endpoint detection step, an extension etching step and an over etching step. An extension etching time for performing the extension etching step is set within 10 seconds based on a predetermined correlation between an extension etching time and the pre-layer information, so as to achieve a required film profile.
    Type: Grant
    Filed: September 25, 2007
    Date of Patent: December 14, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Lung-En Kuo, Jiunn-Hsiung Liao, Min-Chieh Yang
  • Publication number: 20100297848
    Abstract: The present invention in one embodiment provides an etch method that includes providing a structure including a tungsten (W) portion and a titanium nitride (TiN) portion; applying a first etch feed gas of sulfur hexafluoride (SF6) and oxygen (O2), in which the ratio of sulfur hexafluoride (SF6) to oxygen (O2) ranges from 1:3.5 to 1:4.5; and applying a second etch feed gas of nitrogen trifluoride (NF3), helium (He) and chlorine (Cl2), in which the ratio of nitrogen trifluoride (NF3) to chlorine (Cl2) ranges from 1:5 to 2:5 and the ratio of helium (He) to nitrogen trifluoride (NF3) and chlorine (Cl2) ranges from 1:3 to 1:1.
    Type: Application
    Filed: May 19, 2009
    Publication date: November 25, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Matthew J. Breitwisch, Eric A. Joseph, Chung H. Lam, Alejandro G. Schrott, Brandon Yee
  • Patent number: 7838435
    Abstract: A method for forming a fine-pitch pattern on a semiconductor substrate is provided. The method includes patterning the semiconductor substrate to form a plurality of fine lines, forming a thermal oxide layer on the fine lines, polishing the thermal oxide layer to expose a top surface of the fine lines; etching the fine lines using the thermal oxide layer as a mask to expose first portions of the semiconductor substrate, etching a central bottom portion of the thermal oxide layer to expose second portions of the semiconductor substrate, and etching the semiconductor substrate using the etched thermal oxide layer as a mask.
    Type: Grant
    Filed: May 16, 2008
    Date of Patent: November 23, 2010
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Eun Soo Jeong
  • Patent number: 7829472
    Abstract: A method of forming openings is disclosed. A substrate is first provided, and the tri-layer structure is formed on the substrate. The tri-layer structure includes a bottom photoresist layer, a silicon-containing layer and a top photoresist layer form bottom to top. Subsequently, the top photoresist layer is patterned, and the silicon-containing layer is etched by utilizing the top photoresist layer as an etching mask to partially expose the bottom photoresist layer. Next, the partially exposed bottom photoresist layer is etched through two etching steps in turn by utilizing the patterned silicon-containing layer as an etching mask. The first etching step includes an oxygen gas and at least one non-carbon-containing halogen-containing gas, while the second etching step includes at least one halogen-containing gas. The substrate is thereafter etched by utilizing the patterned bottom photoresist layer as an etching mask to form at least an opening in the substrate.
    Type: Grant
    Filed: April 9, 2008
    Date of Patent: November 9, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Wei-Hang Huang, Kai-Siang Neo, Pei-Yu Chou, Jiunn-Hsiung Liao
  • Publication number: 20100278368
    Abstract: An acoustic device includes a transducer formed on a first surface of a substrate and an acoustic horn formed in the substrate by a dry-etching process through an opposing second surface of the substrate. The acoustic horn is positioned to amplify sound waves from the transducer and defines a non-linear cross-sectional profile.
    Type: Application
    Filed: May 1, 2009
    Publication date: November 4, 2010
    Applicant: Avago Technologies Wireless IP (Singapore) Pte. Ltd.
    Inventors: David MARTIN, Joel PHILLIBER, John CHOY
  • Patent number: 7816270
    Abstract: A method of forming minute patterns in a semiconductor device, and more particularly, a method of forming minute patterns in a semiconductor device having an even number of insert patterns between basic patterns by double patterning including insert patterns between a first basic pattern and a second basic pattern which are transversely separated from each other on a semiconductor substrate, wherein a first insert pattern and a second insert pattern are alternately repeated to form the insert patterns, the method includes the operation of performing a partial etching toward the second insert pattern adjacent to the second basic pattern, or the operation of forming a shielding layer pattern, thereby forming the even number of insert patterns.
    Type: Grant
    Filed: May 6, 2009
    Date of Patent: October 19, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-yong Park, Jae-kwan Park, Yong-sik Yim, Jae-hwang Sim
  • Publication number: 20100258873
    Abstract: A semiconductor device includes a first contact formed so as to be connected to the first impurity-diffused region, but not to the first gate electrode; and a second contact formed so as to be connected commonly to the second gate electrode and the second impurity-diffused region, wherein each of the first contact and the second contact has a profile such that the taper angle changes at an intermediate position in the depth-wise direction from the surface of an insulating film towards a substrate, and the intermediate position where the taper angle changes resides more closer to the substrate in the second contact, than in the first contact.
    Type: Application
    Filed: April 8, 2010
    Publication date: October 14, 2010
    Applicants: NEC ELECTRONICS CORPORATION, KABUSHIKI KAISHA TOSHIBA
    Inventors: KEIICHI HARASHIMA, Hiroyuki Maeda
  • Patent number: 7799697
    Abstract: A patterning method in a semiconductor manufacturing process includes the following steps. A base is provided. A target layer and a lining layer are sequentially formed on the surface of the base. The lining layer is patterned to form a plurality of rectangular blocks. A sidewall spacer material layer is formed on the rectangular blocks and the target layer. Part of the sidewall spacer material layer is removed to form a sidewall spacer on the side wall of each of the plurality of rectangular blocks. The plurality of rectangular blocks is removed, and the sidewall spacer is used as a hard sheltering mask to etch and remove part of the target layer. The overlay accuracy is improved and the dimension of the electronic elements can be reduced so that a lot of two-dimension structures can be manufactured on the wafer substrate.
    Type: Grant
    Filed: May 13, 2008
    Date of Patent: September 21, 2010
    Assignee: Nanya Technology Corporation
    Inventors: Wei-Cheng Shiu, Ya-Chih Wang
  • Patent number: 7799691
    Abstract: A method and apparatus for anisotropically etching a recess in a silicon substrate is disclosed. Generally, a plasma is used for energetic excitation of a reactive etching gas, wherein the reactive etching gas is a constituent of a continuous gas flow. A recess is anisotropically etched in a silicon substrate using the reactive etching gas, during which time the recess id deepened by at least fifty micrometers without interrupting the gas flow of the reactive etching gas.
    Type: Grant
    Filed: January 10, 2006
    Date of Patent: September 21, 2010
    Assignee: Infineon Technologies AG
    Inventors: Thorsten Hanewald, Andreas Hauser, Ingold Janssen, Kai-Olaf Subke
  • Patent number: 7799696
    Abstract: A method of manufacturing an integrated circuit including a memory device that includes the following processes: forming a mask layer structure above a composite structure including a resistivity changing layer and an electrode layer disposed above the resistivity changing layer; partially patterning the mask layer structure using a first substance; stopping patterning the mask layer structure before exposing the top surface of the electrode layer; at least partially exposing the top surface of the electrode layer using a second substance, the second substance chemically not reacting with the electrode layer material.
    Type: Grant
    Filed: December 20, 2007
    Date of Patent: September 21, 2010
    Assignees: Qimonda AG, Altis Semiconductor, SNC
    Inventor: Stéphane Cholet
  • Patent number: 7794610
    Abstract: The invention relates to a method for making an actuation system for an optical component comprising: etching of a first face of a component, to form pads on it, etching of a second face of the component, to expose a membrane made of the same material as the pads, production of the actuation means of the pads and the membrane.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: September 14, 2010
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Claire Divoux, Marie-Helene Vaudaine, Thierry Enot
  • Patent number: 7790047
    Abstract: Methods for removing masking materials from a substrate having exposed low-k materials while minimizing damage to exposed surfaces of the low-k material are provided herein. In one embodiment a method for removing masking materials from a substrate includes providing a substrate having exposed low-k materials and a masking material to be removed; exposing the masking material to a first plasma formed from a reducing chemistry for a first period of time; and exposing the masking material to a second plasma formed from an oxidizing chemistry for a second period of time. The steps may be repeated as desired and may be performed in reverse order. Optionally, at least one diluent gas may be added to the oxidizing chemistry.
    Type: Grant
    Filed: April 25, 2006
    Date of Patent: September 7, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Zhilin Huang, Siyi Li, Qingjun Zhou
  • Patent number: 7786020
    Abstract: A method for fabricating a nonvolatile memory device includes repeatedly stacking a stacked structure over a substrate to form a multi-stacked structure, wherein the stacked structure includes a conductive layer and an insulation layer, forming a photoresist pattern over the multi-stacked structure, first-etching an uppermost stacked structure of the multi-stacked structure using the photoresist pattern as an etch barrier, second-etching a resultant structure formed by the first-etching through the use of a breakthrough etching, slimming the photoresist pattern to form a slimmed photoresist pattern, and third-etching the uppermost stacked structure using the slimmed photoresist pattern as an etch barrier and, at the same time, etching a stacked structure disposed under the uppermost stacked structure and exposed by the first-etching.
    Type: Grant
    Filed: December 24, 2009
    Date of Patent: August 31, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Hye-Ran Kang, Sung-Yoon Cho
  • Patent number: 7776642
    Abstract: A quantum-well photoelectric device, such as a quantum cascade laser, is constructed of monocrystalline nanoscale membranes physically removed from a substrate and mechanically assembled into a stack.
    Type: Grant
    Filed: May 15, 2008
    Date of Patent: August 17, 2010
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: Mark A. Eriksson, Max G. Lagally, Arnold Melvin Kiefer
  • Publication number: 20100197143
    Abstract: A dry etching method for a silicon nitride film capable of improving throughput is provided. A dry etching method for dry-etching a silicon nitride film 103 includes dry-etching the silicon nitride film 103 without generating plasma by using a processing gas containing at least a hydrogen fluoride gas (HF gas) and a fluorine gas (F2 gas), with respect to a processing target object 100 including the silicon nitride film 103.
    Type: Application
    Filed: February 2, 2010
    Publication date: August 5, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Eiichi Nishimura, Yusuke Shimizu
  • Patent number: 7767100
    Abstract: A patterning method with a filling material with a T-shaped cross section is used as a mask during patterning to produce structures having sublithographic dimensions, such as a double-fin field effect transistor.
    Type: Grant
    Filed: September 28, 2004
    Date of Patent: August 3, 2010
    Assignee: Infineon Technologies AG
    Inventors: Rodger Fehlhaber, Helmut Tews
  • Patent number: 7749903
    Abstract: A method for self-aligned gate patterning is disclosed. Two masks are used to process adjacent semiconductor components, such as an nFET and pFET that are separated by a shallow trench isolation region. The mask materials are chosen to facilitate selective etching. The second mask is applied while the first mask is still present, thereby causing the second mask to self align to the first mask. This avoids the undesirable formation of a stringer over the shallow trench isolation region, thereby improving the yield of a semiconductor manufacturing operation.
    Type: Grant
    Filed: February 7, 2008
    Date of Patent: July 6, 2010
    Assignee: International Business Machines Corporation
    Inventors: Scott D. Halle, Matthew E. Colburn, Bruce B. Doris, Thomas W. Dyer
  • Patent number: 7740768
    Abstract: A method and apparatus for cleaning a wafer. The wafer is heated and moved to a processing station within the apparatus that has a platen either permanently in a platen down position or is transferable from a platen up position to the platen down position. The wafer is positioned over the platen so as not to contact the platen and provide a gap between the platen and wafer. The gap may be generated by positioning the platen in a platen down position. A plasma flows into the gap to enable the simultaneous removal of material from the wafer front side, backside and edges. The apparatus may include a single processing station having the gap residing therein, or the apparatus may include a plurality of processing stations, each capable of forming the gap therein for simultaneously removing additional material from the wafer front side, backside and edges.
    Type: Grant
    Filed: October 12, 2006
    Date of Patent: June 22, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Haruhiro H Goto, David Cheung
  • Patent number: 7732338
    Abstract: A method of fabricating a semiconductor device includes depositing a first film on a workpiece film so that a resist is formed on the first film, processing the first film with the resist serving as a mask, depositing a second film along the first film, processing the second film so that the second film is left only on a sidewall of the first film, depositing a third film on the substrate, exposing a sidewall of the second film, depositing a fourth film along the sidewall and an upper surface of the third film, removing the fourth film except for only its part on the sidewall of the second film, depositing a fifth film on the substrate, planarizing the second to fifth films so that the upper surfaces of the films are exposed, and processing the workpiece film while the second and fifth films serve as a mask.
    Type: Grant
    Filed: October 15, 2008
    Date of Patent: June 8, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masaki Narita
  • Patent number: 7732344
    Abstract: A method for fabricating a integrated circuit with improved performance is disclosed. The method comprises providing a substrate; forming a hard mask layer over the substrate; forming protected portions and unprotected portions of the hard mask layer; performing a first etching process, a second etching process, and a third etching process on the unprotected portions of the hard mask layer, wherein the first etching process partially removes the unprotected portions of the hard mask layer, the second etching process treats the unprotected portions of the hard mask layer, and the third etching process removes the remaining unprotected portions of the hard mask layer; and performing a fourth etching process to remove the protected portions of the hard mask layer.
    Type: Grant
    Filed: June 5, 2009
    Date of Patent: June 8, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Fang Wen Tsai, Matt Yeh, Ming-Jun Wang, Shun Wu Lin, Chi-Chun Chen, Zin-Chang Wei, Chyi-Shyuan Chern
  • Patent number: 7723229
    Abstract: A process is implemented to form a contact opening in a semiconductor device that includes a gate electrode on a substrate, a spacer on a sidewall of the gate electrode and a dielectric material covering the gate electrode. The process comprises forming a photoresist pattern on a surface of the dielectric material, etching the dielectric material until the bottom liner layer is exposed, forming a protective layer on a sidewall of the spacer while etching the dielectric material, and etching the bottom liner layer.
    Type: Grant
    Filed: April 22, 2005
    Date of Patent: May 25, 2010
    Assignee: Macronix International Co., Ltd.
    Inventors: An Chyi Wei, Chung Tai Chen
  • Patent number: 7723236
    Abstract: Mixing ratio and flow rate of a first gaseous mixture supplied to a central portion of the substrate are set. Subsequently, etching is performed by changing a mixing ratio of a second gaseous mixture supplied to an outer peripheral portion of the substrate while a setting of the first gaseous mixture is fixed, thereby, setting the mixing ratio of the second gaseous mixture based on an etching result to make etching selectivities and shapes at the central portion and the outer peripheral portion of the substrate uniform. Then, etching is performed by changing a flow rate of the second gaseous mixture while settings of the first gaseous mixture and the mixing ratio of the second gaseous mixture are fixed, thereby, setting the flow rate of the second gaseous mixture based on etching results to make etching rates at the central portion and the outer peripheral portion of the substrate uniform.
    Type: Grant
    Filed: January 18, 2006
    Date of Patent: May 25, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Hiromasa Mochiki
  • Patent number: 7723221
    Abstract: A stacked film patterning method is provided which is capable of reliably removing residual substances remaining after etching of a metal film, improving etching uniformity of a silicon film, and preventing an occurrence of etching residues. A micro-crystal film and a chromium film are sequentially formed on an insulating film serving as a front-end film and the chromium film is etched to be patterned by using a resist as a mask. Next, a micro-crystal silicon film on which the residual substances exist is exposed to plasma of a mixed gas including chlorine gas and oxygen gas to selectively etch the residual substances on a surface of the micro-crystal silicon film. After that, the micro-crystal silicon film is dry etched.
    Type: Grant
    Filed: May 14, 2007
    Date of Patent: May 25, 2010
    Assignees: NEC Corporation, NEC LCD Technologies, Ltd.
    Inventor: Kenichi Hayashi
  • Publication number: 20100109054
    Abstract: Provided is a semiconductor device. The device includes a substrate having a photo acid generator (PAG) layer on the substrate. The PAG layer is exposed to radiation. A photoresist layer is formed on the exposed PAG layer. The exposed PAG layer generates an acid. The acid decomposes a portion of the formed photoresist layer. In one embodiment, the PAG layer includes organic BARC. The decomposed portion of the photoresist layer may be used as a masking element.
    Type: Application
    Filed: December 31, 2009
    Publication date: May 6, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: George Liu, Kuei Shun Chen, Vencent Chang, Shang-Wen Chang
  • Patent number: 7704885
    Abstract: A method for fabricating a semiconductor device is provided. The method of fabricating a semiconductor device provides a semiconductor substrate; forming a first insulating layer, a first conductive layer and a chemical mechanical polishing (CMP) stop layer over the semiconductor substrate in sequence; forming openings in the chemical mechanical polishing (CMP) stop layer and the underlying first conductive layer to expose the first insulating layer, thereby leaving a patterned chemical mechanical polishing (CMP) stop layer and a patterned first conductive layer; forming a second insulating layer on the patterned chemical mechanical polishing (CMP) stop layer, filling in the openings; performing a planarization process to remove a portion of the second insulating layer until the patterned chemical mechanical polishing (CMP) stop layer is exposed, thereby leaving a remaining second insulating layer in the openings; removing the patterned chemical mechanical polishing (CMP) stop layer.
    Type: Grant
    Filed: May 24, 2007
    Date of Patent: April 27, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kern-Huat Ang, Po-Jen Wang
  • Publication number: 20100099264
    Abstract: A dry etch method, apparatus, and system for etching a high-k material comprises sequentially contacting the high-k material with a vapor phase reducing agent, and a volatilizing etchant in a cyclical process. In some preferred embodiments, the reducing agent and/or volatilizing etchant is plasma activated. Control over etch rate and/or selectivity are improved by the pulsed process, where, in some embodiments, each step in the cyclical process has a self-limited extent of etching. Embodiments of the method are useful in the fabrication of integrated devices, as well as for cleaning process chambers.
    Type: Application
    Filed: October 20, 2008
    Publication date: April 22, 2010
    Applicant: ASM AMERICA, INC.
    Inventor: Kai-Erik Elers
  • Patent number: 7700491
    Abstract: A method of preventing formation of stringers adjacent a side of a CMOS gate stack during the deposition of mask and poly layers for the formation of a base and emitter of a bi-polar device on a CMOS integrated circuit wafer. The stringers are formed by incomplete removal of a hard mask layer over an emitter poly layer over a nitride mask layer. The method includes overetching the hard mask layer with a first etchant having a higher selectivity for the emitter poly material than for the material of the hard mask, determining an end point for the overetching step by detection of nitride in the etchant and applying a poly etchant that is selective with respect to nitride to remove any residual emitter poly.
    Type: Grant
    Filed: August 10, 2005
    Date of Patent: April 20, 2010
    Assignee: Agere Systems Inc.
    Inventors: Milton Beachy, Thomas Craig Esry, Daniel Charles Kerr, Thomas M. Oberdick, Mario Pita
  • Patent number: 7687407
    Abstract: The present invention provides an interconnect structure, a method of manufacture therefore, and a method for manufacturing an integrated circuit including the same. The method for forming the interconnect structure, among other steps, includes subjecting a first portion (510) of a substrate (220) to a first etch process, the first etch process designed to etch at a first entry angle (?1), and subjecting a second portion (610) of the substrate (220) to a second different etch process, the second different etch process designed to etch at a second lesser entry angle (?2).
    Type: Grant
    Filed: March 2, 2005
    Date of Patent: March 30, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: David G. Farber, Brian E. Goodllin, Robert Kraft
  • Patent number: 7682985
    Abstract: A method for etching a stack with at least one silicon germanium layer over a substrate in a processing chamber is provided. A silicon germanium etch is provided. An etchant gas is provided into the processing chamber, wherein the etchant gas comprises HBr, an inert diluent, and at least one of O2 and N2. The substrate is cooled to a temperature below 40° C. The etching gas is transformed to a plasma to etch the silicon germanium layer.
    Type: Grant
    Filed: March 17, 2004
    Date of Patent: March 23, 2010
    Assignee: Lam Research Corporation
    Inventors: C. Robert Koemtzopoulos, Yoko Yamaguchi Adams, Yoshinori Miyamoto, Yousun Kim Taylor
  • Patent number: 7682991
    Abstract: A method of manufacturing a silicon carbide semiconductor device includes forming a trench for a MOS gate in an SiC substrate by dry etching. Thereafter, the substrate with the trench is heat treated. The heat treatment includes heating the substrate in an Ar gas atmosphere or in a mixed gas atmosphere containing SiH4 and Ar at a temperature between 1600° C. and 1800° C., and thereafter in a hydrogen gas atmosphere at a temperature between 1400° C. and 1500° C. The present manufacturing method smoothens the trench inner surface and rounds the corners in the trench to prevent the electric field from localizing thereto.
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: March 23, 2010
    Assignee: Fuji Electric Device Technology Co., Ltd.
    Inventors: Yasuyuki Kawada, Takeshi Tawara, Tae Tawara
  • Patent number: 7682516
    Abstract: A method for etching features in an etch layer is provided. A patterned photoresist mask is formed over the etch layer with photoresist features with sidewalls wherein the sidewalls of the photoresist features have irregular profiles along depths of the photoresist features. The irregular profiles along the depths of the photoresist features of the sidewalls of the photoresist features are corrected comprising at least one cycle, where each cycle comprises a sidewall deposition phase and a profile shaping phase. Feature is etched into the etch layer through the photoresist features. The mask is removed.
    Type: Grant
    Filed: October 5, 2005
    Date of Patent: March 23, 2010
    Assignee: Lam Research Corporation
    Inventors: S. M. Reza Sadjadi, Peter Cirigliano, Jisoo Kim, Zhisong Huang, Eric A. Hudson
  • Publication number: 20100068654
    Abstract: A method of patterning a substrate using a dual-tone development process is described. The patterning method comprises forming a layer of radiation-sensitive material on a substrate, wherein the layer of radiation-sensitive material comprises a dual tone resist. Thereafter, the patterning method comprises performing one or more exposures of the layer of radiation-sensitive material to one or more patterns of radiation, wherein at least one of the one or more exposures comprises using a mask having a dual-tone mask pattern region configured for printing dual tone features and a half-tone mask pattern region configured for printing half-tone features. Furthermore, the half-tone mask pattern region is optimized for use with the dual tone resist.
    Type: Application
    Filed: December 15, 2008
    Publication date: March 18, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Carlos A. Fonseca, Mark Somervell, Steven Scheer
  • Patent number: 7670946
    Abstract: A method to form a barrier layer and contact plug using a touch up RIE. In a first embodiment, we form a first barrier layer over the dielectric layer and the substrate in the contact hole. The first barrier layer is comprised of Ta. A second barrier layer is formed over the first barrier layer. The second barrier layer is comprised of TaN or WN. We planarize a first conductive layer to form a first contact plug in the contact hole. We reactive ion etch (e.g., W touch up etch) the top surfaces using a Cl and B containing etch. Because of the composition of the barrier layers and RIE etch chemistry, the barrier layers are not significantly etched selectively to the dielectric layer. In a second embodiment, a barrier film is comprised of WN.
    Type: Grant
    Filed: May 15, 2006
    Date of Patent: March 2, 2010
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Yong Kong Siew, Beichao Zhang
  • Patent number: 7666796
    Abstract: Some embodiments of the present invention include apparatuses and methods relating to improved substrate patterning for multi-gate transistors.
    Type: Grant
    Filed: March 23, 2006
    Date of Patent: February 23, 2010
    Assignee: Intel Corporation
    Inventors: Ibrahim Ban, Uday Shah, Allen B. Gardiner
  • Patent number: 7648918
    Abstract: Provided is a method of fabricating a semiconductor device. The method includes providing a substrate, forming a photo acid generator (PAG) layer on the substrate, exposing the PAG layer to radiation, and forming a photoresist layer on the exposed PAG layer. The exposed PAG layer generates an acid. The acid decomposes a portion of the formed photoresist layer. In one embodiment, the PAG layer includes organic BARC. The decomposed portion of the photoresist layer may be used as a masking element.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: January 19, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: George Liu, Kuei Shun Chen, Vencent Chang, Shang-Wen Chang
  • Patent number: 7648576
    Abstract: After cleaning the front and back sides of a silicon wafer with a liquid SC-1 and liquid SC-2, the front and back sides of the silicon wafer are cleaned with an HF solution to be water-repellent surfaces. Following that, an epitaxial layer of silicon is formed on the front side. Consequently, there can be reduced stacking faults after formation of the epitaxial layer and occurrence of cloud on the back side. Alternatively, the front and back sides of a silicon wafer are cleaned with the liquid SC-1 and liquid SC-2, and then the back side of the silicon wafer is cleaned with an HF solution to be a water-repellent surface while the front side is cleaned with purified water to be a hydrophilic surf ace. Following that, an epitaxial layer of silicon is formed on the front side. Consequently, there can be reduced mounds on the front side and occurrence of cloud on the back side.
    Type: Grant
    Filed: December 10, 2004
    Date of Patent: January 19, 2010
    Assignee: SUMCO Corporation
    Inventors: Yasuo Fukuda, Makoto Takemura, Koichi Okuda
  • Patent number: 7645669
    Abstract: A nanotip capacitor and associated fabrication method are provided. The method provides a bottom electrode and grows electrically conductive nanotips overlying the bottom electrode. An electrically insulating dielectric is deposited overlying the nanotips, and an electrically conductive top electrode is deposited overlying dielectric-covered nanotips. Typically, the dielectric is deposited by forming a thin layer of dielectric overlying the nanotips using an atomic layer deposition (ALD) process. In one aspect, the electrically insulating dielectric covering the nanotips forms a three-dimensional interface of dielectric-covered nanotips. Then, the electrically conductive top electrode overlying the dielectric-covered nanotips forms a three-dimensional top electrode interface, matching the first three-dimensional interface of the dielectric-covered nanotips.
    Type: Grant
    Filed: February 16, 2007
    Date of Patent: January 12, 2010
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Sheng Teng Hsu, Fengyan Zhang
  • Patent number: 7625823
    Abstract: In a method of manufacturing a metal pattern, a metal layer is deposited on a passivation layer, and a photoresist pattern is then formed on the metal layer. Using the photoresist pattern as a mask, an exposed portion of the metal layer is treated with a plasma to lower a binding force in the metal. The metal layer is then etched to form a the metal pattern. The method is applicable to the formation of pixel electrodes in LCD devices.
    Type: Grant
    Filed: August 25, 2000
    Date of Patent: December 1, 2009
    Assignee: LG Display Co., Ltd.
    Inventor: Kwang-Jo Hwang
  • Patent number: 7625603
    Abstract: A silicon oxide layer is formed by oxidation or decomposition of a silicon precursor gas in an oxygen-rich environment followed by annealing. The silicon oxide layer may be formed with slightly compressive stress to yield, following annealing, an oxide layer having very low stress. The silicon oxide layer thus formed is readily etched without resulting residue using HF-vapor.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: December 1, 2009
    Assignee: Robert Bosch GmbH
    Inventors: Aaron Partridge, Markus Lutz, Silvia Kronmueller
  • Patent number: 7615164
    Abstract: The invention includes etching and contact opening forming methods. In one implementation, a plasma etching method includes providing a bottom powered plasma chamber that includes a plasma generating electrode powerable at different first and second frequencies, with the first frequency being lower than the second frequency. A substrate is positioned over the electrode. A plasma is generated over the substrate with the electrode from a first applied power at the first frequency and a second applied power at the second frequency. A ratio of the first applied power to the second applied power is from 0 to 0.25 or at least 6.0. Material is etched from the substrate with the plasma.
    Type: Grant
    Filed: June 23, 2004
    Date of Patent: November 10, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Bradley J. Howard, Max F. Hineman