Sequential Etching Steps On A Single Layer Patents (Class 438/734)
  • Patent number: 7405162
    Abstract: An etching method forms an opening with a substantially vertical profile extending to a stopper layer by performing an etching with a plasma of an etching gas acting on an object to be processed loaded in an evacuable processing vessel, wherein the object has a mask layer of a predetermined pattern, a silicon layer to be etched formed below the mask layer and the stopper layer formed below the silicon layer. The etching method includes a first etching process for forming an opening with a tapered wall surface in the silicon layer by using a first etching gas including a fluorine-containing gas and O2 but not HBr; and a second etching process for etching the opening by using a second etching gas including a fluorine-containing gas, O2 and HBr.
    Type: Grant
    Filed: September 22, 2005
    Date of Patent: July 29, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Koji Maruyama, Yusuke Hirayama, Nozomi Hirai, Takanori Mimura
  • Patent number: 7396711
    Abstract: Embodiments of the present invention describe a method of forming a multi-cornered film. According to the embodiments of the present invention, a photoresist mask is formed on a hard mask film formed on a film. The hard mask film is then patterned in alignment with the photoresist mask to produce a hard mask. The width of the photoresist mask is then reduced to form a reduced width photoresist mask. A first portion of the film is then etched in alignment with the hard mask. The hard mask is then etched in alignment with the reduced width photoresist mask to form a reduced width hard mask. A second portion of the film is then etched in alignment with the reduced width hard mask.
    Type: Grant
    Filed: December 27, 2005
    Date of Patent: July 8, 2008
    Assignee: Intel Corporation
    Inventors: Uday Shah, Brian S. Doyle, Justin K. Brask, Robert S. Chau
  • Patent number: 7393769
    Abstract: According to some embodiments of the invention, transistors of a semiconductor device have a punchthrough protection layer, and methods of forming the same are provided. A channel-portion hole extends downward from a main surface of a semiconductor substrate. A punchthrough protection layer and a channel-portion layer are sequentially formed at a lower portion of the channel-portion hole. A word line pattern fills an upper portion of the channel-portion hole, and is formed on the semiconductor substrate. The word line pattern is formed to have a word line and a word line capping layer pattern stacked thereon, and the channel-portion layer is a channel region. The punchthrough protection layer can reduce a leakage current of a capacitor of the transistor embodied in a DRAM.
    Type: Grant
    Filed: March 10, 2005
    Date of Patent: July 1, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyeoung-Won Seo, Ki-Nam Kim, Woun-Suck Yang, Du-Heon Song
  • Patent number: 7393788
    Abstract: A method and system for selectively and uniformly etching a dielectric layer with respect to silicon and polysilicon in a dry plasma etching system are described. The etch chemistry comprises the use of fluorohydrocarbons, such as CH2F2 and CHF3. High etch selectivity and acceptable uniformity can be achieved by selecting a process condition, including the flow rate of CH2F2 and the power coupled to the dry plasma etching system, such that a proper balance of active etching radicals and polymer forming radicals are formed within the etching plasma.
    Type: Grant
    Filed: February 10, 2006
    Date of Patent: July 1, 2008
    Inventor: Julie A. Cook
  • Patent number: 7390745
    Abstract: A method for producing predetermined shapes in a crystalline Si-containing material that have substantially uniform straight sides or edges and well-defined inside and outside corners is provided together with the structure that is formed utilizing the method of the present invention. The inventive method utilizes conventional photolithography and etching to transfer a pattern, i.e., shape, to a crystalline Si-containing material. Since conventional processing is used, the patterns have the inherent limitations of rounded corners. A selective etching process utilizing a solution of diluted ammonium hydroxide is used to eliminate the rounded corners providing a final shape that has substantially straight sides or edges and substantially rounded corners.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: June 24, 2008
    Assignee: International Business Machines Corporation
    Inventors: Thomas W. Dyer, Kenneth T. Settlemyer, Jr., James J. Toomey, Haining Yang
  • Publication number: 20080138997
    Abstract: Methods for removing a BARC layer from a feature are provided in the present invention. In one embodiment, the method includes providing a substrate having a feature filled with a BARC layer in an etching chamber, supplying a first gas mixture comprising NH3 gas into the chamber to etch a first portion of the BARC layer filling in the feature, and supplying a second gas mixture comprising O2 gas into the etching chamber to etch the remaining portion of the BARC layer disposed in the feature.
    Type: Application
    Filed: December 8, 2006
    Publication date: June 12, 2008
    Inventors: Zhilin Huang, Siyi Li, Gerardo A. Delgadino
  • Patent number: 7384799
    Abstract: A method for forming a MEMS device using an amorphous silicon layer as a release layer includes etching superjacent films and using the amorphous silicon layer as an etch stop layer. The amorphous silicon layer is resistant to attack during the post-etch solvent stripping operation due to the oxidation of exposed portions of the amorphous silicon layer by use of an oxygen plasma.
    Type: Grant
    Filed: January 26, 2005
    Date of Patent: June 10, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Fei-Yun Chen, Ni-Hwi Kuan, Yuh-Hwa Chang, Yuan-Pang Lee, Yuan-Ko Hwang, Shuh-Shun Chen
  • Publication number: 20080132079
    Abstract: A method for using a film formation apparatus for a semiconductor process includes a first cleaning process of removing by a first cleaning gas a by-product film from an inner surface of a reaction chamber of the film formation apparatus, while supplying the first cleaning gas into the reaction chamber, and setting an interior of the reaction chamber at a first temperature and a first pressure to activate the first cleaning gas. The method further includes a second cleaning process of then removing by a second cleaning gas a contaminant from the inner surface of the reaction chamber, while supplying the second cleaning gas into the reaction chamber, and setting the interior of the reaction chamber at a second temperature and a second pressure to activate the second cleaning gas. The second cleaning gas includes a chlorine-containing gas.
    Type: Application
    Filed: October 2, 2007
    Publication date: June 5, 2008
    Inventors: Mitsuhiro Okada, Satoshi Mizunaga, Yamato Tonegawa, Toshiharu Nishimura
  • Patent number: 7381622
    Abstract: By patterning a spacer layer stack and etching a cavity in an in situ etch process, the process complexity, as well as the uniformity, during the formation of embedded strained semiconductor layers may be significantly enhanced. In an initial phase, the spacer layer stack may be patterned on the basis of an anisotropic etch step with a high degree of uniformity, since a selectivity between individual stack layers may not be necessary. Thereafter, a cleaning process may be performed followed by a cavity etch process, wherein a reduced over-etch time during the spacer patterning process significantly contributes to the uniformity of the finally obtained cavities, while the in situ nature of the process also provides a reduced overall process time.
    Type: Grant
    Filed: November 14, 2006
    Date of Patent: June 3, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Andreas Hellmich, Gunter Grasshoff, Fernando Koch, Andy Wei, Thorsten Kammler
  • Publication number: 20080123176
    Abstract: The present invention provides a method for manufacturing a microelectronic device and a microelectronic device. The method for manufacturing the microelectronic device, without limitation, may include providing a spacer layer over a substrate, the spacer layer having one or more openings therein, and forming a first conductive layer over the spacer layer and within the one or more openings. The method may further include subjecting the first conductive layer to an anisotropic etch, the anisotropic etch exposing at least a portion of the substrate within the one or more openings, but leaving the spacer layer substantially covered, and forming a second conductive layer over the first conductive layer and within the one or more openings, the second conductive layer contacting the substrate exposed by the anisotropic etch.
    Type: Application
    Filed: August 29, 2006
    Publication date: May 29, 2008
    Applicant: Texas Instruments, Incorporated
    Inventor: Anthony DiCarlo
  • Patent number: 7375037
    Abstract: To improve the shape of a gate electrode having SiGe, after patterning a gate electrode 15G having an SiGe layer 15b by a dry etching process, a plasma processing (postprocessing) is carried out in an atmosphere of an Ar/CHF3 gas. Thereby, the gate electrode 15G can be formed without causing side etching at two side faces (SiGe layer 15b) of the gate electrode 15G.
    Type: Grant
    Filed: August 13, 2003
    Date of Patent: May 20, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Kazuo Yamazaki, Shinji Kuniyoshi, Kousuke Kusakari, Takenobu Ikeda, Masahiro Tadokoro
  • Patent number: 7368305
    Abstract: A method of fabricating high aspect ratio micromechanical tips is provided. The method includes, but is not limited to, forming an etchant protective island on a surface of a silicon substrate with the silicon substrate exposed around the island; isotropically etching the silicon substrate by reactive ion etching around the protective island to partially undercut the silicon substrate beneath the protective island; anisotropically etching, by deep reactive ion etching, the silicon surrounding the island to a desired depth to define a tip shaft of the desired height supported at a base by the substrate; removing the protective island from the tip; and sharpening the top of the tip shaft to an apex. Using the method, micromechanical tips having heights greater than at least 30 ?m have been obtained while maintaining the vertical sidewall necessary for both AFM and scanning near-field microwave microscopy (SNMM) profiling applications.
    Type: Grant
    Filed: June 10, 2005
    Date of Patent: May 6, 2008
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: Daniel W. van der Weide, Yaqiang Wang
  • Patent number: 7368341
    Abstract: An explanation is given of, inter alia, a circuit arrangement containing a trench which penetrates through a charge-storing layer (18) and a doped semiconductor layer (14). The trench simultaneously fulfils a multiplicity of functions, namely an insulating function between adjacent components, the patterning of the charge-storing layer and also the subdivision of doping layers of the semiconductor layer (14).
    Type: Grant
    Filed: June 1, 2006
    Date of Patent: May 6, 2008
    Assignee: Infineon Technologies AG
    Inventors: Achim Gratz, Klaus Knobloch, Franz Schuler
  • Patent number: 7365020
    Abstract: A method for etching an upper metal film of a capacitor, enables a safe etching of the upper metal film of a capacitor by exploiting an over-etch step. The method for etching the upper metal film of the capacitor includes the steps of forming a lower metal film, a lower nitride film, an upper metal film, and an upper nitride film on a substrate having a predetermined device formed thereon, and then forming a pattern thereover; etching the upper nitride film with CHF3, Ar and Cl2 using the pattern; over etching the upper metal film more than 50% with CHF3, Ar and N2 using the pattern; etching the upper metal film with CHF3, Ar and N2 using the pattern; and etching the lower nitride film with CHF3 and Ar using the pattern.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: April 29, 2008
    Assignee: Donbu Electronics Co., Ltd.
    Inventor: Bo Yeoun Jo
  • Publication number: 20080085605
    Abstract: It is an object to provide a high-precision method for forming deep holes of elliptic pattern, which can improve hole directionality on the short diameter side, the hole directionality being possibly deteriorated as a result of excessive polymer deposition in the initial etching stage. The insulating film dry etching method is for treating a work on which a mask of elliptic pattern is formed with a fluorocarbon gas, wherein the etching process is divided into a first and second steps after the etching is started, the first step operating to deposit a polymer at a rate set lower than that in the second step, and controlling step time in accordance with ellipticity (long diameter/short diameter ratio) of the elliptic pattern.
    Type: Application
    Filed: January 29, 2007
    Publication date: April 10, 2008
    Inventors: Nobuyuki Negishi, Masatoshi Oyama, Masahiro Sumiya
  • Publication number: 20080081475
    Abstract: A method for forming a pattern in a semiconductor device includes forming an etch target layer and a hard mask layer, forming a mask pattern over the hard mask layer, etching the hard mask layer using the mask pattern as an etch mask, removing polymers generated while etching the hard mask layer, and etching the etch target layer to form a pattern using the mask pattern as an etch mask.
    Type: Application
    Filed: March 12, 2007
    Publication date: April 3, 2008
    Inventors: Ki-Won Nam, Jae-Young Kim
  • Patent number: 7351665
    Abstract: In a first step and a thirst step, etching gases are used which contain fluorocarbon gases having C/F atom number ratios higher than that in a second step. A hole is formed to a midpoint in a silicon oxide film in the first step, the hole is formed until a base SiN film begins to be exposed or immediately before it is exposed in the second step, and overetching is performed in the third step. This enables even a hole having a fine diameter and a high aspect ratio to be formed in an excellent shape.
    Type: Grant
    Filed: March 28, 2006
    Date of Patent: April 1, 2008
    Assignee: Tokyo Electron Limited
    Inventor: Masahiro Ogasawara
  • Patent number: 7351618
    Abstract: A method of manufacturing a thin film transistor (TFT) substrate to minimize a rugged surface of an organic layer overlapping with a storage electrode is provided. The method includes forming a passivation layer on a substrate having a storage electrode and an organic layer covering the passivation layer, forming a concave portion by partially removing a portion of the organic layer that overlaps with the storage electrode, planarizing a rugged pattern located on the bottom of the concave portion, and forming an opening extending to a surface of the passivation layer by removing the planarized organic layer from the concave portion.
    Type: Grant
    Filed: May 31, 2006
    Date of Patent: April 1, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Eou-sik Cho, Jang-soo Kim
  • Patent number: 7344995
    Abstract: The present invention discloses a method for preparing a structure with high aspect ratio, which can be a trench or a conductor. A first mask is formed on a substrate, and a first etching process is performed to remove the substrate uncovered by the first mask to form at least one concavity. A second mask is formed on the surface of the prepared structure, a second etching process is then performed to remove the second mask on the concavity, and a third etching process is performed subsequently to extend the depth of the concavity into the substrate. To prepare a conductor with high aspect ratio in the substrate, the first mask and the second mask are preferably made of dielectric material or metal. In addition, to prepare a trench with high aspect ratio in a silicon substrate, the first mask and the second mask are preferably made of dielectric material.
    Type: Grant
    Filed: March 14, 2005
    Date of Patent: March 18, 2008
    Assignee: Promos Technologies, Inc.
    Inventors: Hung Yueh Lu, Hong Long Chang, Yung Kai Lee, Chih Hao Chang
  • Patent number: 7344991
    Abstract: A method for etching an organic anti-reflective coating (ARC) layer on a substrate in a plasma processing system comprising: introducing a process gas comprising ammonia (NH3), and a passivation gas; forming a plasma from the process gas; and exposing the substrate to the plasma. The process gas can, for example, constitute NH3 and a hydrocarbon gas such as at least one of C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, and C6H12. Additionally, the process chemistry can further comprise the addition of helium. The present invention further presents a method for forming a bilayer mask for etching a thin film on a substrate, wherein the method comprises: forming the thin film on the substrate; forming an ARC layer on the thin film; forming a photoresist pattern on the ARC layer; and transferring the photoresist pattern to the ARC layer with an etch process using a process gas comprising ammonia (NH3), and a passivation gas.
    Type: Grant
    Filed: August 14, 2003
    Date of Patent: March 18, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Vaidyanathan Balasubramaniam, Koichiro Inazawa, Rich Wise, Arpan Mahorowala, Siddhartha Panda
  • Patent number: 7335600
    Abstract: A method for removing photoresist is described. A substrate having a photoresist to be removed thereon is provided, and then an ashing process is performed to remove most of the photoresist. The substrate is then subjected to a surface treatment that provides sufficient energy for the extra electrons caused by the ashing process to escape from the substrate, and the remaining photoresist and polymer are stripped with stripping solvents after the surface treatment.
    Type: Grant
    Filed: December 15, 2004
    Date of Patent: February 26, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Sheng Chien, Yen-Wu Hsieh
  • Publication number: 20080045032
    Abstract: There is provided a method for producing a semiconductor device which forms a deep hole contact ultra-finely without generating distortion of an opening and Twisting in a contact hole. The method for producing a semiconductor device has the steps of: (a) forming a contact hole 6 in an upper part of an insulation layer 3 containing silicon oxide by dry etching using a first etching gas which contains Xe gas, and (b) deepening the contact hole 7 in the insulation layer 3 by dry etching using a second etching gas which does not contain Xe gas. It is preferable that the first etching gas contains a gas obtained by diluting an etching gas with Xe gas or with a mixed gas of Xe gas and Ar gas. It is preferable that the second etching gas contains a gas obtained by diluting an etching gas with Ar gas. It is preferable that the etching gas contains a mixed gas of a fluorocarbon gas and O2 gas.
    Type: Application
    Filed: July 20, 2007
    Publication date: February 21, 2008
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Takenobu Ikeda
  • Patent number: 7323403
    Abstract: The present invention provides a method for patterning a metal gate electrode and a method for manufacturing an integrated circuit including the same. The method for patterning the metal gate electrode, among other steps, includes forming a metal gate electrode layer (220) over a gate dielectric layer (210) located on a substrate (110), and patterning the gate electrode layer (220) using a combination of a dry etch process (410) and a wet etch process (510).
    Type: Grant
    Filed: November 29, 2004
    Date of Patent: January 29, 2008
    Assignee: Texas Instruments Incroporated
    Inventors: Antonio L. P. Rotondaro, Deborah J. Riley, Trace Q. Hurd
  • Patent number: 7319074
    Abstract: The present invention provides a method of defining polysilicon patterns. The method forms a polysilicon layer on a substrate, and a patterned mask on the polysilicon layer. Then, a first etching process is performed to remove a portion of the polysilicon layer not covered by the mask, thus forming a plurality of cavities in the polysilicon layer. A strip process is performed to strip the mask utilizing gases excluding O2. Finally, a second etching process is performed to remove a portion of the polysilicon layer, thus extending the plurality of cavities down to a surface of the substrate.
    Type: Grant
    Filed: June 13, 2005
    Date of Patent: January 15, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Pei-Yu Chou, Tong-Yu Chen
  • Patent number: 7303648
    Abstract: Systems and techniques relating to etching vias in integrated circuit devices, in one implementation, include: providing a dielectric material and a conductive material, removing a first portion of the dielectric material to form a hole in the dielectric material, performing a tapering etch that removes a second portion of the dielectric material to form a via that touches down on the conductive material, and laterally expanding a bottom dimension of the via without a significant increase in a depth of the via. The technique can also include: providing a substrate with the dielectric material and the conductive material attached without an associated etch stop layer, removing the first portion at a high etch rate, controlling ion bombardment and plasma chemistry to form a sloped bottom of the via, and performing an intensive ion bombarding plasma etch, laterally expanding the via bottom.
    Type: Grant
    Filed: May 25, 2004
    Date of Patent: December 4, 2007
    Assignee: Intel Corporation
    Inventors: Hyun-Mog Park, Vijayakumar Ramachandrarao
  • Patent number: 7303996
    Abstract: A method for treating a gate structure comprising a high-K gate dielectric stack to improve electric performance characteristics including providing a gate dielectric layer stack including a binary oxide over a silicon substrate; forming a polysilicon layer over the gate dielectric layer stack; lithographically patterning and etching to form a gate structure; and, carrying out at least one plasma treatment of the gate structure comprising a plasma source gas selected from the group consisting of H2, N2, O2, and NH3.
    Type: Grant
    Filed: October 1, 2003
    Date of Patent: December 4, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ming-Fang Wang, Tuo-Hung Hou, Kai-Lin Mai, Liang-Gi Yao, Shih-Chang Chen
  • Patent number: 7285498
    Abstract: An etching method etches an organic film by using an inorganic film as a mask at a high etch rate, in a satisfactory etch profile in a satisfactory in-plane uniformity without causing the inorganic film to peel off. An organic film formed on a workpiece is etched by using an inorganic film as a mask with a plasma produced by discharging an etching gas in a processing vessel (1). The etching method uses a mixed gas containing NH3 gas and O2 gas for etching the organic film when the organic film is to be etched in a pattern having an opening ratio of 40% or above. The etching method uses NH3 gas as an etching gas for etching the organic film when the organic film is to be etched in a pattern having an opening ratio below 40%.
    Type: Grant
    Filed: October 4, 2004
    Date of Patent: October 23, 2007
    Assignees: Tokyo Electron Limited, Kabushiki Kaisha Toshiba
    Inventors: Kazuto Ogawa, Rie Inazawa, legal representative, Hisataka Hayashi, Tokuhisa Ohiwa, Koichiro Inazawa, deceased
  • Patent number: 7282453
    Abstract: A method for fabricating a semiconductor device includes forming fuse lines over a substrate, forming a first insulation layer over the fuse lines, the first insulation layer including a silicon-rich oxynitride (SRON) layer at the top, forming a second insulation layer over the first insulation layer, the second insulation layer configured in a multiple-layer structure and including oxide-based materials, performing a first repair etching process to selectively etch the second insulation layer, performing a second repair etching process to remove the second insulation layer remaining after performing the first repair etching process, and performing a third repair etching process to etch the first insulation layer in a manner such that the first insulation layer remains with a predetermined thickness above the fuse lines.
    Type: Grant
    Filed: June 29, 2006
    Date of Patent: October 16, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Ki-Won Nam
  • Patent number: 7282447
    Abstract: A process is provided for forming vertical contacts in the manufacture of integrated circuits and devices. The process eliminates the need for precise mask alignment and allows the etch of the contact hole to be controlled independent of the etch of the interconnect trough. The process includes forming an insulating layer on the surface of a substrate; forming an etch stop layer on the surface of the insulating layer; forming an opening in the etch stop layer; etching to a first depth through the opening in the etch stop layer and into the insulating layer to form an interconnect trough; forming a photoresist mask on the surface of the etch stop layer and in the trough; and continuing to etch through the insulating layer until reaching the surface of the substrate to form a contact hole. The process may be repeated during the formation of multilevel metal integrated circuits.
    Type: Grant
    Filed: August 19, 2004
    Date of Patent: October 16, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Charles H Dennison, Trung T. Doan
  • Patent number: 7279114
    Abstract: The invention is directed to an etching method for patterning a first material layer over a second material layer to expose a portion of the second material layer. The etching method comprises steps of performing a first etching process to remove a portion of the first material layer in an etching chamber and then performing an etching environment adjustment process in the etching chamber. A second etching process is performed on the first material layer and, meanwhile, a real-time etching monitor process is performed for generating an endpoint detection spectrum subsequent to the etching environment adjustment process, wherein at least one of signals of the endpoint detection spectrum is stabilized by the inert gas plasma treatment.
    Type: Grant
    Filed: May 8, 2006
    Date of Patent: October 9, 2007
    Assignee: Macronix International Co., Ltd.
    Inventor: Shih-Ping Hong
  • Patent number: 7276452
    Abstract: A method for removing mottled etch in a semiconductor fabricating process, prevents mottled etch from being generated after etching, by performing ashing using an oxide plasma, prior to performing wet etching using a photoresist pattern. The method for removing the mottled etch includes the steps of forming a gate oxide film on a semiconductor substrate; forming a photoresist pattern on the substrate; performing ashing using an oxygen plasma; and removing the oxide film consequently by wet etching, the oxide film being opened by the pattern.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: October 2, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Hyung Seok Kim
  • Patent number: 7276450
    Abstract: Methods of etching a dielectric layer and a cap layer over a conductor to expose the conductor are disclosed. In one embodiment, the methods include the use of a silicon dioxide (SiO2) etching chemistry including octafluorocyclobutane (C4F8) and a titanium nitride (TiN) etching chemistry including tetrafluoro methane (CF4). The methods prevent etch rate degradation and exhibit reduced electro-static discharge (ESD) defects.
    Type: Grant
    Filed: November 1, 2005
    Date of Patent: October 2, 2007
    Assignee: International Business Machines Corporation
    Inventor: Joseph J. Mezzapelle
  • Patent number: 7267998
    Abstract: A magnetic memory device comprises a plurality of magneto-resistance effect elements arranged in a matrix form. The each of a plurality of magneto-resistance effect elements have a pattern shape which substantially internally touches an ellipse having major and minor axes of the magneto-resistance effect element as major and minor axes thereof and a pitch between the adjacent magneto-resistance effect elements in a direction of the major axis is longer than that in a direction of the minor axis.
    Type: Grant
    Filed: September 22, 2005
    Date of Patent: September 11, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yoshiaki Fukuzumi
  • Patent number: 7267127
    Abstract: A method for manufacturing an electronic device comprising the steps of: dry-etching a Ti-containing metal film formed on a substrate with a gas containing fluorine; and treating the substrate with a chemical solution containing fluorine ions after the dry etching step.
    Type: Grant
    Filed: September 12, 2005
    Date of Patent: September 11, 2007
    Assignee: Matsushita Electric Inductrial Co., Ltd.
    Inventors: Masayuki Watanabe, Yukihisa Wada
  • Patent number: 7265042
    Abstract: The present invention relates to a method for fabricating a semiconductor device with gate spacers. The method includes the steps of: forming a plurality of gate structures on a substrate; forming an insulation layer on the gate structures and the substrate; and etching the insulation layer to form gate spacers on sidewalls of the gate structures, wherein the gate spacers have top corners sloped by employing two different etch recipes providing different ranges of a pressure and a gas flow.
    Type: Grant
    Filed: December 20, 2004
    Date of Patent: September 4, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Ki-Won Nam
  • Patent number: 7265059
    Abstract: A FinFET includes a plurality of semiconductor fins. Over a semiconductor layer, patterned features (e.g. of minimum photolithographic size and spacing) are formed. In one example of fin formation, a first set of sidewall spacers are formed adjacent to the sides of these patterned features. A second set of sidewall spacers of a different material are formed adjacent to the sides of the first set of sidewall spacers. The first set of sidewall spacers are removed leaving the second set of sidewall spacers spaced from the patterned features. Both the second set of sidewall spacers and the patterned features are used as a mask to an etch that leaves semiconductor fins patterned as per the second set of sidewall spacers and the patterned features. These resulting semiconductor fins, which have sub-lithographic spacings, are then used for channels of a FinFET transistor.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: September 4, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Rajesh A. Rao, Leo Mathew
  • Patent number: 7265058
    Abstract: A method of manufacturing a semiconductor device comprises, in patterning of a conductive film having a grain boundary on a very thin dielectric film, a first etching step of carrying out anisotropic etching until most of the conductive film in a flat portion disappears, and a second etching step of increasing a selective ratio to the dielectric film to etch the conductive film in an unnecessary portion such that a thickness of the dielectric film provided under the grain boundary can be held to prevent oxidation species from reaching an interface with a substrate after the first etching step.
    Type: Grant
    Filed: October 8, 2003
    Date of Patent: September 4, 2007
    Assignee: ROHM Co., Ltd.
    Inventor: Suguru Tabara
  • Patent number: 7259103
    Abstract: A method of fabricating polycrystalline silicon thin film transistor according to the present invention includes: depositing a buffer layer on a substrate; depositing an amorphous silicon layer on the buffer layer with a predetermined thickness; crystallizing the deposited amorphous silicon layer by using a laser to form a polycrystalline silicon layer; etching the crystallized polycrystalline silicon layer to a predetermined thickness; curing the etched polycrystalline silicon layer; and patterning the cured polycrystalline silicon layer to form a semiconductor layer.
    Type: Grant
    Filed: September 17, 2003
    Date of Patent: August 21, 2007
    Assignee: LG.Philips LCD Co., Ltd.
    Inventor: Sang Hyun Kim
  • Patent number: 7256137
    Abstract: A method of manufacturing a semiconductor device is provided comprising the steps of: (a) forming a semiconductor element on a substrate, the semiconductor element having at least one nickel silicide contact region, a first etch stop layer formed over the element and an insulating layer formed over the first etch stop layer; (b) forming an opening through the insulating layer over the contact region at least to the first etch stop layer; (c) removing a portion of the first etch stop layer contacting a selected contact region using a process that does not substantially oxidize with the contact region, to form a contact opening to the contact region; and (d) filling the contact opening with conductive material to form a contact.
    Type: Grant
    Filed: February 7, 2005
    Date of Patent: August 14, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chii-Ming Wu, Chih-Wei Chang, Shau-Lin Shue, Ju-Wang Hsu, Ming-Huan Tsai
  • Patent number: 7255803
    Abstract: The invention includes etching and contact opening forming methods. In one implementation, a plasma etching method includes providing a bottom powered plasma chamber that includes a plasma generating electrode powerable at different first and second frequencies, with the first frequency being lower than the second frequency. A substrate is positioned over the electrode. A plasma is generated over the substrate with the electrode from a first applied power at the first frequency and a second applied power at the second frequency. A ratio of the first applied power to the second applied power is from 0 to 0.25 or at least 6.0. Material is etched from the substrate with the plasma.
    Type: Grant
    Filed: July 19, 2006
    Date of Patent: August 14, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Bradley J. Howard, Max F. Hineman
  • Patent number: 7253114
    Abstract: A method is provided for forming at least three devices with different gate oxide thicknesses and different associated operating voltages, in the same integrated circuit device. The method includes forming a plurality of gate oxides with different thicknesses in high voltage and low voltage areas in the same integrated circuit device. A dry etching operation is used to remove the relatively thick gate oxide from the high voltage area using photoresist masking of the low voltage area and a hard mask in the high voltage area, to mask the gate oxide films. A wet etching procedure is then used to remove the gate oxide film from the low voltage areas. The hard mask may be formed over a polysilicon structure.
    Type: Grant
    Filed: March 16, 2005
    Date of Patent: August 7, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chien-Mao Chen, Jun Xiu Liu, Cuker Huang, Chi-Hsuen Chang
  • Patent number: 7253113
    Abstract: A method for forming a semiconductor device having a reduced pitch is provided. The method includes providing a substrate, forming a material layer over the substrate, forming a photoresist layer over the material layer, exposing a top surface of the photoresist layer to radiation, and forming a silylated layer over the photoresist layer. The method further includes removing a portion of the silylated layer to expose the photoresist layer, removing the photoresist layer, removing portions of the material layer using the silylated layer as a mask, and removing another portion of the silylated layer.
    Type: Grant
    Filed: November 13, 2003
    Date of Patent: August 7, 2007
    Assignee: Macronix International Co., Ltd.
    Inventor: Yang Chin Cheng
  • Patent number: 7247252
    Abstract: A method for avoiding plasma arcing during a reactive ion etching (RIE) process including providing a semiconductor wafer having a process surface for depositing a dielectric insulating layer; depositing at least a portion of a dielectric insulating layer to form a deposition layer according to plasma assisted chemical vapor deposition (CVD) process; treating the deposition layer portion with a hydrogen plasma treatment to reduce an electrical charge nonuniformity of the deposition layer including applying a biasing power to the semiconductor wafer; and, carrying out a subsequent reactive ion etching process.
    Type: Grant
    Filed: June 20, 2002
    Date of Patent: July 24, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shing-Chyang Pan, Yu-Chun Huang, Shwangming Jing
  • Publication number: 20070161252
    Abstract: Method of manufacturing flash memories comprise forming a floating gate, a control gate, and a dielectric layer in the same etching apparatus. In some embodiments, Cl2, Ar, HBr, HeO2, He, CF4, and CHF3 gases are used for etching and forming layers. The flash memories manufactured from the method are disclosed.
    Type: Application
    Filed: December 27, 2006
    Publication date: July 12, 2007
    Inventors: Jin Ho Kim, Hyo Sang An
  • Patent number: 7229926
    Abstract: In an independent GaN film manufactured by creating a GaN layer on a base heterosubstrate using vapor-phase deposition and then removing the base substrate, owing to layer-base discrepancy in thermal expansion coefficient and lattice constant, warp will be a large ±40 ?m to ±100 ?m. Since with that warp device fabrication by photolithography is challenging, reducing the warp to +30 ?m to ?20 ?m is the goal. The surface deflected concavely is ground to impart to it a damaged layer that has a stretching effect, making the surface become convex. The damaged layer on the surface having become convex is removed by etching, which curtails the warp. Alternatively, the convex surface on the side opposite the surface having become convex is ground to generate a damaged layer. With the concave surface having become convex due to the damaged layer, suitably etching off the damaged layer curtails the warp.
    Type: Grant
    Filed: October 29, 2004
    Date of Patent: June 12, 2007
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventor: Naoki Matsumoto
  • Patent number: 7214626
    Abstract: The present invention provides an etching process for decreasing mask defect. The process comprises providing a substrate, and sequentially forming a thin film layer, a mask, and a photoresist on the surface of the substrate. Then the photoresist is trimmed by a bromide compound, and a first etching process is performed to transfer patterns from the photoresist to the mask. A strip process is performed to strip photoresist by mixing gases that include fluorine. Finally, a second etching process is performed to transfer the pattern from patterned mask to the thin film layer.
    Type: Grant
    Filed: August 24, 2005
    Date of Patent: May 8, 2007
    Assignee: United Microelectronics Corp.
    Inventor: Kao-Su Huang
  • Patent number: 7208372
    Abstract: A non-volatile memory resistor cell with a nanotip electrode, and corresponding fabrication method are provided. The method comprises: forming a first electrode with nanotips; forming a memory resistor material adjacent the nanotips; and, forming a second electrode adjacent the memory resistor material, where the memory resistor material is interposed between the first and second electrodes. Typically, the nanotips are iridium oxide (IrOx) and have a tip base size of about 50 nanometers, or less, a tip height in the range of 5 to 50 nm, and a nanotip density of greater than 100 nanotips per square micrometer. In one aspect, the substrate material can be silicon, silicon oxide, silicon nitride, or a noble metal. A metalorganic chemical vapor deposition (MOCVD) process is used to deposit Ir. The IrOx nanotips are grown from the deposited Ir.
    Type: Grant
    Filed: January 19, 2005
    Date of Patent: April 24, 2007
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Sheng Teng Hsu, Fengyan Zhang, Gregory M. Stecker, Robert A. Barrowcliff
  • Patent number: 7208363
    Abstract: A method of fabricating local interconnect lines (LILs) of a CMOS structures, the method comprising etching an inter layer dielectric (ILD) material of the CMOS structure at a first temperature to form one or more holes and one or more slits; and etching an etch-stop material of the CMOS structure at a second temperature lower than the first temperature to extend the holes and slits to devices of the CMOS structure.
    Type: Grant
    Filed: May 5, 2005
    Date of Patent: April 24, 2007
    Assignee: Systems on Silicon Manufacturing Co. Pte. Ltd.
    Inventors: Stephane Dufrenne, Mohd Faizal Zainal Abidin
  • Patent number: 7208424
    Abstract: A metal layer is formed over a metal oxide, where the metal oxide is formed over a semiconductor substrate. A predetermined critical dimension of the metal layer is determined. A first etch is performed to etch the metal layer down to the metal oxide and form footings at the sidewalls of the metal layer. A second etch to remove the footings to target a predetermined critical dimension, wherein the second etch is selective to the metal oxide. In one embodiment, a conductive layer is formed over the metal layer. The bulk of the conductive layer may be etched leaving a portion in contact with the metal layer. Next, the portion left in contact with the metal layer may be etched using chemistry selective to the metal layer.
    Type: Grant
    Filed: September 17, 2004
    Date of Patent: April 24, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Tab A. Stephens, Brian J. Goolsby, Bich-Yen Nguyen, Voon-Yew Thean
  • Patent number: RE40007
    Abstract: A new method of patterning the polysilicon layer in the manufacture of an integrated circuit device has been achieved. A polysilicon layer is provided overlying a semiconductor substrate. The polysilicon layer may overlie a gate oxide layer and thereby comprise the polysilicon gate for MOS devices. A hard mask layer is provided overlying the polysilicon layer. A resist layer is provided overlying the hard mask layer. The resist layer is patterned to form a resist mask the exposes a part of the hard mask layer. The polysilicon layer is patterned in a plasma dry etching chamber. First, the resist layer is optionally trimmed by etching. Second, the hard mask layer is etched where exposed by the resist mask to form a hard mask that exposes a part of the polysilicon layer. Third, the resist mask is stripped away. Fourth, polymer residue from the resist mask is cleaned away using a chemistry containing CF4 gas. Fifth, the polysilicon layer is etched where exposed by the hard mask.
    Type: Grant
    Filed: August 28, 2003
    Date of Patent: January 22, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Horng-Wen Chen, Chi-How Wu