Sequential Application Of Etchant Patents (Class 438/749)
  • Patent number: 7205245
    Abstract: A method of etching silicon nitride substantially selectively relative to an oxide of aluminum includes providing a substrate comprising silicon nitride and an oxide of aluminum. The silicon nitride and the oxide is exposed to an etching solution comprising HF and an organic HF solvent under conditions effective to etch the silicon nitride substantially selectively relative to the oxide. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: August 10, 2005
    Date of Patent: April 17, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Janos Fucsko, Grady S. Waldo, Kevin J. Torek, Li Li
  • Patent number: 7195927
    Abstract: An exemplary method for making a memory structure having different-sized memory cell layers comprises forming at least two layers of ferromagnetic materials, forming at least one mask layer above the ferromagnetic materials, patterning the at least one mask layer, etching the ferromagnetic materials using the at least one mask layer as a first etch transfer mask, laterally reducing a planar dimension of the at least one mask layer to be narrower than the ferromagnetic materials, and etching a layer of the ferromagnetic materials using the reduced at least one mask layer as a second etch transfer mask, such that the ferromagnetic layer being etched becomes a different lateral size than another ferromagnetic layer of the ferromagnetic materials.
    Type: Grant
    Filed: October 22, 2003
    Date of Patent: March 27, 2007
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Manish Sharma, Thomas C. Anthony
  • Patent number: 7189628
    Abstract: Dual trench depths are achieved on the same wafer by forming an initial trench having a depth corresponding to the difference in final depths of the shallow and deep trenches. A second mask is used to open areas for the deep trenches over the preliminary trenches and for the shallow trenches at additional locations. Etching of the shallow and deep trenches then proceeds simultaneously.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: March 13, 2007
    Assignee: LSI Logic Corporation
    Inventors: Mohammad R. Mirbedini, Venkatesh P. Gopinath, Hong Lin, Verne Hornback, Dodd Defibaugh, Ynhi Le
  • Patent number: 7183575
    Abstract: A high reverse voltage diode includes a hetero junction made up from a silicon carbide base layer, which constitutes a first semiconductor base layer, and a polycrystalline silicon layer, which constitutes a second semiconductor layer, and whose band gap is different from that of the silicon carbide base layer. A low concentration N type polycrystalline silicon layer is deposited on a first main surface side of the silicon carbide base layer, and a metal electrode is formed on a second main surface side of the silicon carbide base layer which is opposite to the first main surface side thereof.
    Type: Grant
    Filed: February 19, 2003
    Date of Patent: February 27, 2007
    Assignee: Nissan Motor Co., Ltd.
    Inventors: Yoshio Shimoida, Saichirou Kaneko, Hideaki Tanaka, Masakatsu Hoshi, Kraisom Throngnumchai, Teruyoshi Mihara, Tetsuya Hayashi
  • Patent number: 7183226
    Abstract: A method for use in manufacturing a semiconductor device includes forming a photoresist pattern on a substrate, performing first etching process in which an initial trench is formed using the photoresist pattern as a mask, and performing second distinct etching process to enlarge the initial trench. Thus, the initial trench can be formed using the photoresist pattern having a stable structure. Thereafter, the trench is enlarged using an etching solution having a composition based on the material in which the initial trench is formed, e.g., a silicon substrate or an insulation film. Therefore, a metal wiring, an isolation film or a contact can be formed in the enlarged trench to desired dimensions.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: February 27, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Bae Lee, Sang-Rok Hah, Hong-Seong Son
  • Patent number: 7172975
    Abstract: A process for the wet chemical treatment of semiconductor wafers, in which the semiconductor wafers are treated with treatment liquids, has the semiconductor wafers firstly treated with an aqueous HF solution, then treated with an aqueous O3 solution and finally treated with water or an aqueous HCl solution, these treatments forming a treatment sequence.
    Type: Grant
    Filed: October 22, 1999
    Date of Patent: February 6, 2007
    Assignee: Siltronic AG
    Inventors: Roland Brunner, Helmut Schwenk, Johann Zach
  • Patent number: 7160816
    Abstract: The present invention relates to a method for fabricating a semiconductor device. In more detail of the aforementioned method, a first mask layer covering a cell region is formed on an insulation layer in the cell region. Meanwhile, a second mask layer is formed in a peripheral circuit region with a predetermined distance from the first mask layer. The insulation layer is then etched with use of the first and the second mask layers as an etch mask to form a spacer at both sidewalls of each gate line pattern in the peripheral region and simultaneously form a guard beneath the second mask layer. The first and the second mask layers are removed thereafter. Next, a third mask layer opening the cell region but covering the whole regions including a guard region in the peripheral circuit region is formed. A wet etching process is performed to the insulation layer remaining in the cell region by using the third mask layer as an etch mask.
    Type: Grant
    Filed: December 16, 2003
    Date of Patent: January 9, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Seong-Wook Lee
  • Patent number: 7148114
    Abstract: A method of patterning a layer of high-k dielectric material is provided, which may be used in the fabrication of a semiconductor device. A first etch is performed on the high-k dielectric layer. A portion of the high-k dielectric layer being etched with the first etch remains after the first etch. A second etch of the high-k dielectric layer is performed to remove the remaining portion of the high-k dielectric layer. The second etch differs from the first etch. Preferably, the first etch is a dry etch process, and the second etch is a wet etch process. This method further includes a process of plasma ashing the remaining portion of the high-k dielectric layer after the first etch and before the second etch.
    Type: Grant
    Filed: April 8, 2005
    Date of Patent: December 12, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsien-Kuang Chiu, Baw-Ching Perng, Hun-Jan Tao
  • Patent number: 7135414
    Abstract: Disclosed is a method for producing a multicrystalline silicon substrate for solar cells comprising: a metal deposition step for depositing such metal particles as platinum and silver on the surface of the substrate by electroless-plating chloroplatinic acid or silver perchlorate; a boring step for subjecting the substrate surface to etching in a solution containing at least one of hydrofluoric acid and hydrogen peroxide; and a step for removing a stain layer by immersing the substrate into an alkaline solution. A multicrystalline silicon substrate having a lower reflectance is provided at a lower cost.
    Type: Grant
    Filed: December 3, 2004
    Date of Patent: November 14, 2006
    Assignee: Kansai Technology Licensing Organization Co., Ltd.
    Inventors: Michio Matsumura, Kazuya Tujino
  • Patent number: 7119022
    Abstract: In the manufacturing method of a GOLD structured TFT having a gate electrode of double-layered structure, in which, compared to a second layer gate electrode, the first layer gate electrode is thinner in film thickness and longer in dimension of the channel direction, by controlling the density of the photo-absorbent contained in a positive type resist such as diazonaphthoquinone (DNQ)-novolac resin series, the taper angle of the side wall is controlled to a desired angle range so that the angle thereof becomes smaller. Owing to this, it is possible to control the retreat amount of the resist when carrying out dry etching and the dimension of Lov area to a desired dimensional range so that the dimension thereof becomes larger.
    Type: Grant
    Filed: May 24, 2004
    Date of Patent: October 10, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Ichiro Uehara, Hideomi Suzawa
  • Patent number: 7104267
    Abstract: A process for treating a copper or copper alloy substrate surface with a composition and corrosion inhibitor solution to minimize defect formation and surface corrosion, the method including applying a composition including one or more chelating agents, a pH adjusting agent to produce a pH between about 3 and about 11, and deionized water, and then applying a corrosion inhibitor solution. The composition may further comprise a reducing agent and/or corrosion inhibitor. The method may further comprise applying the corrosion inhibitor solution prior to treating the substrate surface with the composition.
    Type: Grant
    Filed: November 29, 2000
    Date of Patent: September 12, 2006
    Assignee: Applied Materials Inc.
    Inventors: Ramin Emami, Shijian Li, Sen-Hou Ko, Fred C. Redeker, Madhavi Chandrachood
  • Patent number: 7105361
    Abstract: A method of patterning a layer of magnetic material to form isolated magnetic regions. The method forms a mask on a film stack comprising a layer of magnetic material such that the protected and unprotected regions are defined. The unprotected regions are etched in a high temperature environment to form isolated magnetic regions.
    Type: Grant
    Filed: January 6, 2003
    Date of Patent: September 12, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Xiaoyi Chen, Chentsau Ying, Padmapani C. Nallan, Ajay Kumar
  • Patent number: 7037852
    Abstract: A composition for stripping photoresist, methods of preparing and forming the same, a method of manufacturing a semiconductor device using the composition, and a method of removing a photoresist pattern from an underlying layer using the composition, where the composition may include an ethoxy N-hydroxyalkyl alkanamide represented by the formula, CH3CH2—O—R3—CO—N—R1R2OH, an alkanolamine and a polar material. Raw materials of alkyl alkoxy alkanoate, represented by a chemical formula of R4—O—R3—COOR5, and alkanolamine, represented by a chemical formula of NHR1R2OH, may be mixed to form a mixture, which is stirred and cooled to obtain the composition. The composition may balance exfoliation and dissolution of photoresist patterns, and may potentially eliminate thread-type residues from remaining on a surface of an underlying layer after removing the photoresist patterns.
    Type: Grant
    Filed: March 11, 2003
    Date of Patent: May 2, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-jin Park, Jin-sung Kim, Pil-kwon Jun, Jin-ho Hwang, Il-hyun Sohn
  • Patent number: 7033953
    Abstract: In the manufacturing method of a GOLD structured TFT having a gate electrode of double-layered structure, in which, compared to a second layer gate electrode, the first layer gate electrode is thinner in film thickness and longer in dimension of the channel direction, by controlling the density of the photo-absorbent contained in a positive type resist, which contains a photo-absorbent of diazonaphthoquinone (DNQ)-novolac resin series, the taper angle of the side wall is controlled to a desired angle range so that the angle thereof becomes smaller. Owing to this, it is possible to control the retreat amount of the resist when carrying out dry etching and the dimension of Lov area to a desired dimensional range so that the dimension thereof becomes larger.
    Type: Grant
    Filed: May 24, 2004
    Date of Patent: April 25, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Ichiro Uehara, Hideomi Suzawa
  • Patent number: 7030034
    Abstract: A method of etching silicon nitride substantially selectively relative to an oxide of aluminum includes providing a substrate comprising silicon nitride and an oxide of aluminum. The silicon nitride and the oxide is exposed to an etching solution comprising HF and an organic HF solvent under conditions effective to etch the silicon nitride substantially selectively relative to the oxide. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: September 18, 2003
    Date of Patent: April 18, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Janos Fucsko, Grady S. Waldo, Kevin J. Torek, Li Li
  • Patent number: 7029965
    Abstract: A method for efficiently manufacturing a semiconductor device, the semiconductor device having an FET and a pn junction diode provided on the same semiconductor substrate, the FET having a Schottky junction for a gate electrode and a gate recess, includes the steps of forming a channel layer, a first etching stopper layer, an n-type common layer, a second etching stopper layer, a p-type layer, and a third etching stopper layer on the semiconductor substrate in that order; etching away the p-type layer and the third etching stopper layer in specific regions; simultaneously forming a source electrode, a drain electrode, a cathode; forming a mask having an opening for forming a gate recess and a gate electrode and an opening for forming an anode; forming the gate recess by etching while the third etching stopper layer prevents the p-type layer from being etched; and simultaneously forming the gate electrode and the anode.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: April 18, 2006
    Assignee: Murata Manufacturing Co., Ltd.
    Inventor: Kazuhiro Yoshida
  • Patent number: 7015147
    Abstract: A method for fabrication of silicon-on-nothing (SON) MOSFET using selective etching of Si1?xGex layer, includes preparing a silicon substrate; growing an epitaxial Si1?xGex layer on the silicon substrate; growing an epitaxial thin top silicon layer on the epitaxial Si1?xGex layer; trench etching of the top silicon and Si1?xGex, into the silicon substrate to form a first trench; selectively etching the Si1?xGex layer to remove substantially all of the Si1?xGex to form an air gap; depositing a layer of SiO2 by CVD to fill the first trench; trench etching to from a second trench; selectively etching the remaining Si1?xGex layer; depositing a second layer of SiO2 by CVD to fill the second trench, thereby decoupling a source, a drain and a channel from the substrate; and completing the structure by state-of-the-art CMOS fabrication techniques.
    Type: Grant
    Filed: July 22, 2003
    Date of Patent: March 21, 2006
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Jong-Jan Lee, Sheng Teng Hsu
  • Patent number: 7015134
    Abstract: A method and system for providing a semiconductor device. The semiconductor device includes a first layer to be etched. The method and system include depositing an anti-reflective coating. At least a portion of the anti-reflective coating layer is on the first layer. The method and system also include patterning a resist layer. The resist layer includes a pattern having a plurality of apertures therein. The resist layer is for etching the first layer. A first portion of the first layer and a second portion of the anti-reflective coating layer are exposed by the pattern. The method and system also include etching the first portion of the first layer and the second portion of the anti-reflective coating layer and removing the resist layer utilizing a plasma etch. The anti-reflective coating layer is resistant to the plasma etch.
    Type: Grant
    Filed: February 19, 2002
    Date of Patent: March 21, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Marina V. Plat, Angela T. Hui
  • Patent number: 7005384
    Abstract: In a chemical mechanical polishing method for polishing a low-k material insulating layer formed on a semiconductor wafer, aqueous abrasive slurry composed of a water component, an abrasive component, a first additive for making the low-k material insulating layer of the semiconductor wafer hydrophilic in nature, and a second additive for adding acidity to the aqueous abrasive slurry, is prepared. The aqueous abrasive slurry is feed to a rotating polishing pad having a larger diameter than that of the semiconductor wafer. The low-k material insulating layer of the semiconductor wafer is applied and pressed onto the rotating polishing pad while rotating the semiconductor wafer in the same rotational direction as that of the rotating polishing pad, whereby a polishing rate of the low-k material insulating layer of the semiconductor wafer is improved.
    Type: Grant
    Filed: December 31, 2003
    Date of Patent: February 28, 2006
    Assignee: NEC Electronics Corp.
    Inventor: Kazuaki Ejiri
  • Patent number: 6992017
    Abstract: A process for cleaning a silicon surface. First, a silicon surface is cleaned with an oxidant solution. Next, the silicon surface is rinsed with HF vapor or liquid and then with the silicon surface with hydrogen water or deionized water under megasonic agitation. Finally, the silicon surface is cleaned with an oxidant solution a second time. The present inventive cleaning process can be applied in thin film transistor (TFT) fabrication and the TFT obtained has higher electron mobility.
    Type: Grant
    Filed: April 8, 2003
    Date of Patent: January 31, 2006
    Assignee: AU Optronics Corp.
    Inventors: Chia-Tien Peng, Ming-Wei Sun
  • Patent number: 6991991
    Abstract: A method for preventing to form a spacer undercut in SEG preclean process is provided. This present invention utilizes HFEG solution to etch the first spacer and the second spacer simultaneously, which can prevent from producing a spacer undercut, meanwhile; a native oxide layer upon a surface of a semiconductor substrate is removed. Hence, the clean surface on the semiconductor substrate is obtained. This method includes the steps as follows: Firstly, the native oxide layer upon the surface of the semiconductor substrate is removed by DHF (HF in deionized water) solution. Then, etching the first spacer and the second spacer at the same time by HFEG (HF diluted by ethylene glycol) solution. Also, the native oxide upon the semiconductor substrate is removed. Therefore, it obtains the clean semiconductor surface without a serious spacer undercut.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: January 31, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Ya-Lun Cheng, Chin-Cheng Chien, Neng-Hui Yang, Yu-Kun Chen
  • Patent number: 6955988
    Abstract: A semiconductor substrate (1) comprising an SOI (2) formed therein. The semiconductor substrate (1) comprises first and second wafers (4,6) which are directly bonded together along a bond interface (9). Prior to bonding the wafers (4,6), a portion (15) of the second wafer (6) is ion implanted to form a p+ region for facilitating selective etching thereof to form a buried cavity (16), in which a buried insulating layer is subsequently formed under a portion (10) of the first wafer (4) for forming the SOI (2). After bonding of the first and second wafers (4,6) a communicating opening (20) is etched through the first wafer (4) to the bond interface (9), and the selectively etchable portion (15) is etched through the communicating opening (20) to form the buried cavity (16). The buried cavity (16) is then filled with deposited oxide to form the buried insulating layer (11).
    Type: Grant
    Filed: December 4, 2003
    Date of Patent: October 18, 2005
    Assignee: Analog Devices, Inc.
    Inventors: William Andrew Nevin, Paul Damien McCann
  • Patent number: 6946369
    Abstract: The invention concerns a method for forming nanostructures of semi-conductor material on a substrate of dielectric material by chemical vapour deposition (CVD). Said method comprises the following steps: a step of forming on the substrate (12) stable nuclei (14) of a first semi-conductor material in the form of islands, by CVD from a precursor (11) of the first semi-conductor material chosen so that the dielectric material (12) accepts the formation of said nuclei (14), a step of forming nanostructures (16A, 16B) of a second semi-conductor material from the stable nuclei (14) of the first semi-conductor material, by CVD from a precursor (21) chosen to generate a selective deposition of the second semi-conductor material only on said nuclei (14). The invention further concerns nanostructures formed according to one of said methods as well as devices comprising said nanostructures.
    Type: Grant
    Filed: November 19, 2003
    Date of Patent: September 20, 2005
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Frédéric Mazen, Thierry Baron, Jean-Michel Hartmann, Marie-Noelle Semeria
  • Patent number: 6938340
    Abstract: Described herein is a method of forming a printhead. A silicon-on-insulator (SOI) substrate, including a first silicon layer, a second silicon layer, and an oxide layer between the first silicon layer and the second silicon layer, is provided. A plurality of thin film layers is formed on a first surface of the substrate. At least one of the layers forms a plurality of ink ejection elements. Ink feed holes are formed through the thin film layers. An opening is formed in the substrate by (a) etching the first silicon layer of the SOI substrate using a wet etch to etch a trench in the first silicon layer extending to the oxide layer; (b) etching an opening in the oxide layer; and (c) etching an opening in the second silicon layer to form an ink path between a backside of the SOI substrate and a topside of the SOI substrate.
    Type: Grant
    Filed: November 14, 2001
    Date of Patent: September 6, 2005
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Charles C. Haluzak, Colby Van Vooren
  • Patent number: 6924162
    Abstract: A process of manufacturing a semiconductor device includes the steps of forming a stacked structure of a first III-V compound semiconductor layer containing In and having a composition different from InP and a second III-V compound semiconductor layer containing In. The second III-V compound semiconductor layer is formed over the first III-V compound semiconductor layer and growing an InP layer at regions adjacent the stacked structure to form a stepped structure of InP. The process further includes the step of wet-etching the stepped structure and the second III-V compound semiconductor layer using an etchant containing hydrochloric acid and acetic acid to remove at least the second III-V compound semiconductor layer.
    Type: Grant
    Filed: February 14, 2002
    Date of Patent: August 2, 2005
    Assignee: Fujitsu Quantum Devices Limited
    Inventors: Takayuki Watanabe, Tsutomu Michitsuta, Taro Hasegawa, Takuya Fujii
  • Patent number: 6864152
    Abstract: Dual trench depths are achieved on the same wafer by forming an initial trench having a depth corresponding to the difference in final depths of the shallow and deep trenches. A second mask is used to open areas for the deep trenches over the preliminary trenches and for the shallow trenches at additional locations. Etching of the shallow and deep trenches then proceeds simultaneously.
    Type: Grant
    Filed: May 20, 2003
    Date of Patent: March 8, 2005
    Assignee: LSI Logic Corporation
    Inventors: Mohammad R. Mirbedini, Venkatesh P. Gopinath, Hong Lin, Verne Hornback, Dodd Defibaugh, Ynhi Le
  • Patent number: 6835617
    Abstract: The present invention provides a method of preparing a surface of a silicon wafer for formation of HSG structures. The method contemplates providing a wafer having at least one HSG template comprising polysilicon formed in BPSG, the HSG template being covered by silicon dioxide. The wafer is treated with a cleaning agent to clean the surface of the wafer. Next, the wafer is treated with a conditioning agent. The conditioning agent removes native oxide from the HSG template without excessively etching structural BPSG. Preferably, the conditioning agent also removes a thin layer of polysilicon on the HSG template. The wafer is then transferred to a process chamber for HSG formation.
    Type: Grant
    Filed: February 7, 2003
    Date of Patent: December 28, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Guoqing Chen, James Pan
  • Patent number: 6828228
    Abstract: A two-step via cleaning process that removes metal polymer and oxide polymer residues from a via with substantially no damage to the via or underlying structures on a semiconductor substrate. The two-step cleaning process comprises first subjecting the residue layer to a nitric acid dip that removes the metal polymer component to expose the oxide polymer component. The oxide polymer component is then subjected to a phosphoric acid dip that removes the oxide polymer component. The oxide polymer and metal polymer residues may also be removed during the fabrication of the via by removing them directly after their respective formations.
    Type: Grant
    Filed: February 4, 2003
    Date of Patent: December 7, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Li Li
  • Patent number: 6821892
    Abstract: A method is disclosed for accurately predicting the wet etch end points as a function of the temperature and concentration of the etching solution, as well as of the thickness of the film to be etched. This is accomplished by fitting an etch rate equation to the process of etching a film in terms of two constant parameters that are determined by one set of experiments performed on a given wet etch bench. Thereafter, the constants are used with the rate equation to calculate precisely the etch rate of a film, and then the etch rate is divided into a target film loss or a target film thickness to obtain etching time, or time to etch, which takes into account the variations in temperature and concentration, for example, of the acid in the solution. The resulting film either looses the specified amount of material, or acquires the specified thickness without incurring any damage, which is especially suited for sub-micron semiconductor technology where precise etching is required.
    Type: Grant
    Filed: June 4, 2001
    Date of Patent: November 23, 2004
    Assignee: Promos Technologies, Inc.
    Inventors: Chun Hong Peng, Rex Chen, Simon Chang
  • Patent number: 6809037
    Abstract: The present invention relates to a method of manufacturing a semiconductor integrated circuit in which a via hole reaching a metal wiring and a concave groove are simultaneously formed in an interlayer film. When the interlayer film and the material of an organic film embedded in the via hole are etched, the etching rate for the material of the organic film with an etching gas is set to be higher than the etching rate for the interlayer film with an etching gas. Thus, plasma etching does not proceed in a state in which the material of the organic film projects from the bottom of the concave groove formed in the interlayer film, and the production of depositions is prevented.
    Type: Grant
    Filed: December 29, 2000
    Date of Patent: October 26, 2004
    Assignee: NEC Electronics Corporation
    Inventor: Atsushi Nishizawa
  • Patent number: 6809004
    Abstract: Disclosed is a method for forming a shallow trench isolation. A pad oxide layer is formed on a semiconductor substrate. First and second stopping layers are sequentially formed on the pad oxide layer. The second stopping layer, the first stopping layer, the pad oxide layer and the semiconductor substrate are etched to form a second stopping layer pattern, a first stopping layer pattern, a pad oxide layer pattern and a trench. A trench inner wall oxide layer is formed at an inner surface portion of the trench. A nitride layer liner is formed on a resulted structure. A field oxide layer is formed in the trench. By selectively removing the second stopping layer pattern, the first stopping layer pattern is exposed. Then, the first stopping layer pattern is removed. Since the chemical mechanical polishing is stopped at the second stopping layer pattern, the first stopping layer pattern is prevented from erosion when the chemical mechanical polishing process is carried out.
    Type: Grant
    Filed: January 7, 2002
    Date of Patent: October 26, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Kyung-Hyun Kim
  • Patent number: 6803240
    Abstract: Described herein is a method for delineating crystalline defects in a thin Si layer over a SiGe alloy layer. The method uses a defect etchant with a high-defect selectivity in Si. The Si is etched downed to a thickness that allows the defect pits to reach the underlying SiGe layer. A second etchant, which can be the same or different from the defect etchant, is then used which attacks the SiGe layer under the pits while leaving Si intact.
    Type: Grant
    Filed: September 3, 2003
    Date of Patent: October 12, 2004
    Assignee: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Keith E. Fogel, Devendra K. Sadana
  • Patent number: 6783694
    Abstract: An etching method for use in integrated circuit fabrication includes providing a metal nitride layer on a substrate assembly, providing regions of cobalt silicide on first portions of the metal nitride layer, and providing regions of cobalt on second portions of the metal nitride layer. The regions of cobalt and the second portions of the metal nitride layer are removed with at least one solution including a mineral acid and a peroxide. The mineral acid may be selected from the group including HCl, H2SO4, H3PO4, HNO3, and dilute HF (preferably the mineral acid is HCl) and the peroxide may be hydrogen peroxide. Further, the removal of the regions of cobalt and the second portions of the metal nitride layer may include a one step process or a two step process. In the one step process, the regions of cobalt and the second portions of the metal nitride layer are removed with a single solution including the mineral acid and the peroxide.
    Type: Grant
    Filed: April 26, 2000
    Date of Patent: August 31, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Whonchee Lee, Yongjun Jeff Hu
  • Patent number: 6780751
    Abstract: A method for plating solder is provided. In accordance with the method, a die having a seed metallization thereon is provided. The seed metallization is microetched (85) with a solution comprising an acid and an oxidizer, thereby forming an etched seed metallization. An under bump metallization (UBM) is then electroplated (87) onto the etched seed metallization, and a lead-free solder composition, such as SnCu, is electroplated (91) onto the UBM. A method for reflowing solder is also provided, which may be used in conjunction with the method for plating solder. In accordance with this later method, the substrate is subjected to a seed metallization etch (137), followed by a microetch (141). A solder flux is then dispensed onto the substrate (147) and the solder is reflowed (149).
    Type: Grant
    Filed: October 9, 2002
    Date of Patent: August 24, 2004
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Owen Fay
  • Patent number: 6767841
    Abstract: A process for producing a semiconductor wafer is based upon etching the semiconductor wafer with an etching medium flowing in a laminar flow along a direction of flow toward an edge of the semiconductor wafer. There is a protective shield arranged in front of the edge of the semiconductor wafer, so that the etching medium flows onto the protective shield and not onto the edge of the semiconductor wafer. There is also a process that has the semiconductor wafer being inclined with respect to the direction of flow of the etching medium, so that there is an angle of less than 180° between the direction of flow of the etching medium and a first side of the semiconductor wafer. Also, there is an angle of greater than 180° between the direction of flow of the etching medium and a second side of the semiconductor wafer, and the second side of the semiconductor wafer is subsequently polished.
    Type: Grant
    Filed: January 18, 2001
    Date of Patent: July 27, 2004
    Assignee: Siltronic AG
    Inventors: Günter Schwab, Helmut Franke, Manfred Schöfberger
  • Patent number: 6762135
    Abstract: A polishing pad conditioner cleaning method and an apparatus for effectively removing particles from a polishing pad conditioner. The polishing pad conditioner is immersed into a cleaning liquid contained in a cleaning bath. The cleaning liquid is continuously supplied into the cleaning bath. An inert gas is injected into the cleaning liquid from a bottom of the cleaning bath. The injected inert gas bubbles the cleaning liquid, so that the particles sticking to the polishing pad conditioner are removed and overflow from the cleaning bath. The polishing pad conditioner is effectively cleaned, so that formation of particles and scratches on a wafer are reduced when a polishing process is subsequently carried out using the cleaned polishing pad conditioner.
    Type: Grant
    Filed: February 25, 2002
    Date of Patent: July 13, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Min-Soo Yang, Min-Gyu Kim
  • Patent number: 6759343
    Abstract: An etching method for use in integrated circuit fabrication includes providing a metal nitride layer on a substrate assembly, providing regions of cobalt silicide on first portions of the metal nitride layer, and providing regions of cobalt on second portions of the metal nitride layer. The regions of cobalt and the second portions of the metal nitride layer are removed with at least one solution including a mineral acid and a peroxide. Further, the removal of the regions of cobalt and the second portions of the metal nitride layer may include a one-step process or a two-step process. In the one-step process, the regions of cobalt and the second portions of the metal nitride layer are removed with a single solution including the mineral acid and the peroxide. In the two-step process, the regions of cobalt are removed with a first solution containing a mineral acid and a peroxide and the second portions of the metal nitride layer are removed with a second solution containing a peroxide.
    Type: Grant
    Filed: January 15, 2002
    Date of Patent: July 6, 2004
    Assignee: Micron Technology , Inc.
    Inventors: Whonchee Lee, Yongjun Jeff Hu
  • Patent number: 6756317
    Abstract: Various methods for forming surface micromachined microstructures are disclosed. One aspect relates to executing surface micromachining operation to structurally reinforce at least one structural layer in a microstructure. Another aspect relates to executing the surface micromachining operation to form a plurality of at least generally laterally extending etch release channels within a sacrificial material to facilitate the release of the corresponding microstructure.
    Type: Grant
    Filed: April 23, 2001
    Date of Patent: June 29, 2004
    Assignee: MEMX, Inc.
    Inventors: Jeffry J. Sniegowski, M. Steven Rodgers
  • Patent number: 6753237
    Abstract: A method of shallow trench isolation fill-in to create the void-free trenches is disclosed. First, a liner oxide layer is formed in the trenches. Next, the silicon substrate is pre-wetted with DI water, and the liner oxide layer is etched by a chemical solution. The chemical solution is an oxide etchant, such as HF solution or BOE (buffered oxide etchant). The etching rate close to an opening of a trench is faster than a bottom of the trench. Finally, the trenches are filled with a HDP oxide layer.
    Type: Grant
    Filed: April 28, 2003
    Date of Patent: June 22, 2004
    Assignee: Macronix International Co., Ltd.
    Inventor: Cheng-Shun Chen
  • Patent number: 6750153
    Abstract: A silicon element having macrocavities beneath its exterior surface is fabricated by electrochemical etching of a p-type silicon wafer. Etching at a high current density results in the formation of deep macrocavities overhung by a layer of crystalline silicon. The process works with both aqueous and non-aqueous electrolytes.
    Type: Grant
    Filed: October 24, 2001
    Date of Patent: June 15, 2004
    Assignee: NanoSciences Corporation
    Inventors: Charles P. Beetz, Jr., Robert W. Boerstler
  • Patent number: 6746965
    Abstract: In the manufacturing method of a GOLD structured TFT having a gate electrode of double-layered structure, in which, compared to a second layer gate electrode, the first layer gate electrode is thinner in film thickness and longer in dimension of the channel direction, by controlling the density of the photo-absorbent contained in a positive type resist, which contains a photo-absorbent of diazonaphthoquinone (DNQ)-novolac resin series, the taper angle of the side wall is controlled to a desired angle range so that the angle thereof becomes smaller. Owing to this, it is possible to control the retreat amount of the resist when carrying out dry etching and the dimension of Lov area to a desired dimensional range so that the dimension thereof becomes larger.
    Type: Grant
    Filed: July 30, 2002
    Date of Patent: June 8, 2004
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Ichiro Uehara, Hideomi Suzawa
  • Patent number: 6740588
    Abstract: A method for reducing the surface roughness of a metal layer is provided. In some embodiments, the method may include polishing the metal layer to a level substantially above any layers arranged directly beneath the metal layer. In some cases, the semiconductor topography comprising the metal layer may be substantially absent of any material laterally adjacent to the metal layer during polishing. In either case, a semiconductor topography having a metal layer with a mean surface roughness less than the mean surface roughness obtained during the deposition of the metal layer may be obtained. As such, the method may include reducing the mean surface roughness of a metal layer. For example, the method may include reducing the mean surface roughness of a metal layer by at least a factor of ten.
    Type: Grant
    Filed: March 29, 2002
    Date of Patent: May 25, 2004
    Assignee: Silicon Magnetic Systems
    Inventor: William W. C. Koutny, Jr.
  • Patent number: 6737359
    Abstract: A method for forming a shallow trench isolation using a SiON anti-reflective coating which eliminates water spot defects. The method begins by providing a substrate. A pad oxide layer is formed over the substrate. A silicon nitride layer is formed on the pad oxide layer. A silicon oxynitride layer is formed on the silicon nitride layer. A photoresist mask, having an opening, is formed over the silicon oxynitride layer. The silicon oxynitride layer, the silicon nitride layer, the pad oxide layer, and the substrate are etched through the opening, forming a trench. The photoresist mask is removed. In the key step, the silicon oxynitride layer is removed. Then, a thin silicon oxide layer is grown and a silicon oxide layer is deposited and planarized to form a shallow trench isolation.
    Type: Grant
    Filed: December 13, 1999
    Date of Patent: May 18, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Shu-Yuan Yang, Chaucer Chung
  • Patent number: 6737334
    Abstract: A method for fabricating STI for semiconductor device. The method includes the following steps. A trench is formed on the semiconductor substrate, a liner oxide is formed on the bottom and sidewall of the trench, and then a liner nitride is formed on the liner oxide. The first oxide layer is deposited in the trench by high density plasma chemical vapor deposition. The first oxide layer is spray-etched to a predetermined depth, wherein the recipe of the spray etching solution is HF/H2SO4=0.3˜0.4. A second oxide layer is deposited to fill the trench by high density plasma chemical vapor deposition to form a shallow trench isolation structure.
    Type: Grant
    Filed: October 9, 2002
    Date of Patent: May 18, 2004
    Assignee: Nanya Technology Corporation
    Inventors: Tzu-En Ho, Chang Rong Wu, Yi-Nan Chen
  • Patent number: 6727188
    Abstract: An etchant and a method for fabricating a substrate for an electronic device using the etchant where the etchant contains a predetermined additive to control the etch rate of a Cu deposition layer (containing Cu, Cu/Ti, or Cu/Ta) over passage of time. Some examples of the additive may include a chelate having the —COOH group, a chemical compound containing a Cu ion, and a deoxidizer containing sulfur (S). The method includes forming a metal thin film containing copper (Cu) on a substrate, selectively exposing the metal thin film, and etching at least one of the exposed and the unexposed portions on the metal thin film with the additive-containing etchant to control the Cu etch rate over time against the number of sheets of processed substrates. The use of the additive-containing etchant results in improved yield and reduction in production costs because of less frequent etchant replacements.
    Type: Grant
    Filed: October 25, 2001
    Date of Patent: April 27, 2004
    Assignee: LG.Philips LCD Co., Ltd.
    Inventors: Gyoo Chul Jo, Gee Sung Chae
  • Patent number: 6723644
    Abstract: A method of manufacturing a semiconductor device is capable of preventing a dishing phenomenon from occurring without using dummy patterns. A plurality of conductive patterns are formed along the entire surface of a semiconductor substrate with an irregular pattern density. The conductive patterns have a first stopper layer at the top thereof. An interlayer insulating layer is formed on the conductive patterns. Next, a second stopper layer is formed on the interlayer insulating layer. An etching mask is formed on the second stopper layer so as to expose a first region having a conductive pattern density that is higher than that of another region(s). By using the etching mask, the second stopper layer and part of the interlayer insulating layer are etched at the first region.
    Type: Grant
    Filed: March 12, 2002
    Date of Patent: April 20, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-yup Kim, Sang-rok Hah
  • Patent number: 6713341
    Abstract: A method of forming a bottle-shaped trench in a semiconductor substrate. The method is suitable for formation of the capacitor of DRAM. First, the semiconductor substrate is selectively etched to form a trench, wherein the trench has a top portion and a bottom portion. A nitride film is then formed on the top portion of the trench. Next, the semiconductor substrate is etched through the bottom portion of the trench by a solution of hydrogen peroxide and hydrofluoric acid as the etchant to form a bottle-shaped trench followed by removal of the nitride film.
    Type: Grant
    Filed: June 3, 2002
    Date of Patent: March 30, 2004
    Assignee: Nanya Technology Corporation
    Inventors: Yi-Nan Chen, Hsien-Wen Liu, Hsin-Chuan Tsai
  • Patent number: 6706642
    Abstract: The present invention relates to a method for fabricating semiconductor capacitors, which enables the capacitance of the capacitors to be increased.
    Type: Grant
    Filed: December 27, 2002
    Date of Patent: March 16, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventors: Dong Ho Lee, Jong Woon Park
  • Patent number: 6699773
    Abstract: A method of forming a shallow trench isolation type semiconductor device comprises forming an etch protecting layer pattern to define at least one active region on a substrate, forming at least one trench by etching the substrate partially by using the etch protecting layer pattern as an etch mask, forming a thermal-oxide film on an inner wall of the trench, filling the trench having the thermal-oxide film with a CVD silicon oxide layer to form an isolation layer, removing the etch protecting layer pattern from the substrate over which the isolation layer is formed, removing the thermal-oxide film formed on a top end of the inner wall of the trench to a depth of 100 to 350 Å, preferably 200 Å from the upper surface of the substrate, and forming a gate oxide film on the substrate from which the active region and the top end are exposed.
    Type: Grant
    Filed: October 21, 2002
    Date of Patent: March 2, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Keum-Joo Lee, Young-Min Kwon, Chang-Lyoung Song, In-Seak Hwang
  • Patent number: 6699795
    Abstract: A method of making a semiconductor structure includes etching an anti-reflective coating layer at a pressure of 10 millitorr or less; etching a nitride layer with a first nitride etch plasma having a first F:C ratio; and etching the nitride layer with a second nitride etch plasma having a second F:C ratio. The first F:C ratio is greater than the second F:C ratio.
    Type: Grant
    Filed: March 15, 2002
    Date of Patent: March 2, 2004
    Assignee: Cypress Semiconductor Corp.
    Inventors: Benjamin Schwarz, Chan-Lon Yang, Kiyoko Ikeuchi, Peter Keswick, Lien Lee