Silicon Oxide Patents (Class 438/756)
  • Patent number: 7875557
    Abstract: A semiconductor substrate treating method is disclosed that can selectively remove contaminants or unnecessary substances present on the surface of a semiconductor substrate. Also disclosed are a semiconductor component of enhanced reliability produced by this method and an electronic appliance incorporating the semiconductor component. The semiconductor substrate treating method comprises the step of treating a semiconductor substrate with a treating fluid containing NH4OH and HF wherein the relationships 0.30?X/Y?0.78 and 0.03?Y?6.0 are satisfied, where X represents a concentration [mol/L] of NH4OH in the treating fluid and Y represents a concentration [mol/L] of HF in the treating fluid. Preferably, the treating fluid is substantially free from H2O2. The semiconductor substrate has a surface, at least a part of which is composed of high melting point metal.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: January 25, 2011
    Assignees: Seiko Epson Corporation, Kabushiki Kaisha Toshiba
    Inventors: Hiroyuki Matsuo, Kunihiro Miyazaki, Toshiki Nakajima
  • Patent number: 7851372
    Abstract: In one aspect, a composition is provided which is capable of removing an insulation material which includes at least one of a low-k material and a passivation material. The composition of this aspect includes about 5 to about 40 percent by weight of a fluorine compound, about 0.01 to about 20 percent by weight of a first oxidizing agent, about 10 to about 50 percent by weight of a second oxidizing agent, and a remaining water.
    Type: Grant
    Filed: October 2, 2006
    Date of Patent: December 14, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-Min Kang, Kui-Jong Baek, Woong Hahn, Chun-Deuk Lee, Jung-Hun Lim, Young-Nam Kim, Hyun-Joon Kim
  • Patent number: 7803716
    Abstract: Provided is a fabrication method of a semiconductor device having an improved production yield. An insulating film for forming sidewall insulating films of a gate electrode is deposited on the main surface of a semiconductor wafer and then, subjected to the treatment for equalizing the film thickness distribution. In this treatment, the semiconductor wafer is fixed onto a spin stage of an etching apparatus and rotated; and an etchant is supplied from an etchant nozzle to the main surface of the rotating semiconductor wafer while moving thereabove the etchant nozzle from the peripheral side to the central side on the main surface of the semiconductor wafer. The moving speed of the etchant nozzle is controlled, depending on the thickness distribution of the insulating film and is made lower in a region where a change rate of the thickness of the insulating film in a radial direction of the semiconductor wafer is large than in a region where the change rate is small.
    Type: Grant
    Filed: April 30, 2008
    Date of Patent: September 28, 2010
    Assignee: Renesas Electronics Corporation
    Inventor: Hiroshi Tanaka
  • Patent number: 7803673
    Abstract: A method of manufacturing a thin film transistor (“TFT”) substrate includes forming a gate insulating film and an active layer on a substrate, forming a data metal layer including a first, second, and third metal layers on the active layer, forming a first photoresist pattern on the data metal layer, dry-etching the third metal layer by using the first photoresist pattern, simultaneously dry-etching the second and first metal layers by using the first photoresist pattern, dry-etching the active layer by using the first photoresist pattern, etching the first photoresist pattern to form a second photoresist pattern by which the channel region is removed and forming a source electrode and a drain electrode by dry-etching the channel region of the data metal layer by using the second photoresist pattern.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: September 28, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Duck-Jung Lee, Dae-Ho Song, Kyung-Seop Kim, Yong-Eui Lee
  • Patent number: 7781342
    Abstract: A substrate treatment method which includes a developing step of developing a resist film on a substrate to form a resist pattern on the substrate, and thereafter includes an etching step of etching a base film using the resist pattern as a mask. The substrate treatment method, between the developing step and the etching step, supplies a fluorine-based liquid to the resist pattern to form a protection film with a high fluorine density on a surface of the resist pattern.
    Type: Grant
    Filed: April 28, 2005
    Date of Patent: August 24, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Mitsuaki Iwashita, Satoru Shimura, Keiji Tanouchi
  • Patent number: 7776756
    Abstract: An etching apparatus includes a chamber containing an etching solution including first and second components and water, a concentration of the water in the etching solution is at a specified level or lower; a circulation path circulating the etching solution; a concentration controller sampling the etching liquid from the circulation path and controls concentrations of the etching solution respectively; and a refilling chemical liquid feeder feeding a refilling chemical liquid including the first component having a concentration higher than the first component in the etching solution.
    Type: Grant
    Filed: July 30, 2007
    Date of Patent: August 17, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hisashi Okuchi, Hiroyasu Iimori, Mami Saito, Yoshihiro Ogawa, Hiroshi Tomita, Soichi Nadahara
  • Patent number: 7771779
    Abstract: The instant invention is a process for planarizing a microelectronic substrate with a cross-linked polymer dielectric layer, comprising the steps of: (a) heating such a substrate coated with a layer comprising an uncured cross-linkable polymer and a glass transition suppression modifier to a temperature greater than the glass transition temperature of the layer, the temperature being less than the curing temperature of the uncured cross-linkable polymer to form a substrate coated with a heat flowed layer; and (b) heating the substrate coated with the heat flowed layer to a curing temperature of the uncured cross-linkable polymer of the heated layer to cure the uncured cross-linkable polymer to form a planarized substrate wherein the percent planarization at 100 micrometers is greater than fifty percent. The instant invention is a microelectronic device made using the above-described process.
    Type: Grant
    Filed: November 6, 2002
    Date of Patent: August 10, 2010
    Inventors: Kenneth L. Foster, Michael J. Radler
  • Patent number: 7763509
    Abstract: A method of manufacturing a semiconductor device, in which a stress film having a large stress can be formed with high accuracy over a transistor. The method comprises the steps of: depositing a tensile stress film over the whole surface of a substrate having formed thereon an n-MOSFET; removing by etching the deposited stress film while leaving it on the n-MOSFET; and performing UV irradiation to the remaining stress film. By the UV irradiation, a tensile stress of the stress film is improved. Further, although the stress film is cured by the UV irradiation, occurrence of etching defects caused by the curing is prevented because the UV irradiation is performed after the etching. Thus, speeding-up and high quality of the n-MOSFET can be attained.
    Type: Grant
    Filed: December 15, 2006
    Date of Patent: July 27, 2010
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Sergey Pidin, Tamotsu Owada
  • Patent number: 7754552
    Abstract: A hard mask may be formed and maintained over a polysilicon gate structure in a metal gate replacement technology. The maintenance of the hard mask, such as a nitride hard mask, may protect the polysilicon gate structure 14 from the formation of silicide or etch byproducts. Either the silicide or the etch byproducts or their combination may block the ensuing polysilicon etch which is needed to remove the polysilicon gate structure and to thereafter replace it with an appropriate metal gate technology.
    Type: Grant
    Filed: July 29, 2003
    Date of Patent: July 13, 2010
    Assignee: Intel Corporation
    Inventors: Chris E. Barns, Justin K. Brask, Mark Doczy
  • Patent number: 7749843
    Abstract: A method for fabricating a semiconductor device with a bulb-shaped recess gate pattern is provided. The method includes forming a plurality of oxide layers over a substrate; forming a silicon layer to cover the oxide layers; forming a mask over the silicon layer; etching the silicon layer using the mask as an etch mask to form a plurality of first recesses to expose the oxide layers; etching the oxide layers to form a plurality of second recesses; and forming a plurality of gate patterns at least partially buried into the first recesses and the second recesses.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: July 6, 2010
    Assignee: Hynix Semiconductor, Inc.
    Inventor: Jun-Hee Cho
  • Patent number: 7727900
    Abstract: A cleaning sequence usable in semiconductor manufacturing efficiently cleans semiconductor substrates while preventing chemical oxide formation thereon. The sequence includes the sequence of: 1) treating with an HF solution; 2) treating with pure H2SO4; 3) treating with an H2O2 solution; 4) a DI water rinse; and 5) treatment with an HCl solution. The pure H2SO4 solution may include an H2SO4 concentration of about ninety-eight percent (98%) or greater. After the HCl solution treatment, the cleaned surface may be a silicon surface that is free of a chemical oxide having a thickness of 5 angstroms or greater. The invention finds particular advantage in semiconductor devices that utilize multiple gate oxide thicknesses.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: June 1, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Matt Yeh, Shun Wu Lin, Chi-Chun Chen, Shih-Chang Chen
  • Patent number: 7718084
    Abstract: A method for removing a plurality of dielectric films from a supporting substrate by providing a substrate with a dielectric layer overlying another dielectric layer, contacting the substrate at a first temperature with an acid solution exhibiting a positive etch selectivity at the first temperature, and then contacting the substrate at a second temperature with an acid solution exhibiting a positive etch selectivity at the second temperature. The dielectric layers exhibit different etch rates when etched at the first and second temperatures. The first and second acid solutions may contain phosphoric acid. The first dielectric layer may be silicon nitride and the second dielectric layer may be silicon oxide. Under these conditions, the first temperature may be about 175° C. and the second temperature may be about 155° C.
    Type: Grant
    Filed: May 3, 2004
    Date of Patent: May 18, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Don L. Yates
  • Patent number: 7713885
    Abstract: The invention includes methods in which one or more components of a carboxylic acid having an aqueous acidic dissociation constant of at least 1×10?6 are utilized during the etch of oxide (such as silicon dioxide or doped silicon dioxide). Two or more carboxylic acids can be utilized. Exemplary carboxylic acids include trichloroacetic acid, maleic acid, and citric acid.
    Type: Grant
    Filed: May 11, 2005
    Date of Patent: May 11, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Niraj B. Rana, Kevin R. Shea, Janos Fucsko
  • Patent number: 7709393
    Abstract: A method for manufacturing a semiconductor device is provided. In particular, a method for removing unwanted material layers from an edge and lower bevel region of a wafer is provided. The method includes performing a first etch of an edge region of a wafer having material layers formed thereon, coating the wafer with a photoresist layer, and patterning the photoresist layer to expose at least the edge and an upper bevel region of the wafer for etching the material layers remaining after performing the first etch.
    Type: Grant
    Filed: December 18, 2006
    Date of Patent: May 4, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: In Su Kim
  • Publication number: 20100093179
    Abstract: A pattern forming method includes preparing a target object including silicon with an initial pattern formed thereon and having a first line width; performing a plasma oxidation process on the silicon surface inside a process chamber of a plasma processing apparatus and thereby forming a silicon oxide film on a surface of the initial pattern; and removing the silicon oxide film. The pattern forming method is arranged to repeatedly perform formation of the silicon oxide film and removal of the silicon oxide film so as to form an objective pattern having a second line width finer than the first line width on the target object.
    Type: Application
    Filed: December 20, 2007
    Publication date: April 15, 2010
    Applicants: National University Corporation Nagoya University, TOKYO ELECTRON LIMITED
    Inventors: Masaru Hori, Yoshiro Kabe, Toshihiko Shiozawa, Junichi Kitagawa
  • Patent number: 7695982
    Abstract: A wafer comprising a low-k dielectric layer is refurbished for reuse. Initially, a removable layer is provided on the wafer. The low-k dielectric layer is formed over the removable layer. The overlying low-k dielectric layer is removed from the wafer by etching away the removable layer by at least partially immersing the wafer in an etching solution. Thereafter, another low-k dielectric layer can be formed over another removable layer.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: April 13, 2010
    Assignee: Applied Matreials, Inc.
    Inventors: Hong Wang, Krishna Vepa, Paul V. Miller
  • Patent number: 7670861
    Abstract: The objects of the present invention are to form MEMS structures of which stress is controlled while maintaining the performance of high-performance LSI, to integrate MEMS Structures and LSI on a single chip, to electrically and chemically protect the MEMS structure and to reduce the stress of the whole movable part of the MEMS structure. To achieve the above objects, a silicide film formable at a low temperature is used for the MEMS structure. The temperature at the silicide film deposition T1 is selected optionally with reference the heat treatment temperature T2 and the pseudo-crystallization temperature T3. T2, the temperature of manufacturing process after the silicide film deposition, is determined does not cause the degradation of the characteristics of the high-performance LSI indispensable. Thus, the residual stress of the MEMS structures may be controlled.
    Type: Grant
    Filed: January 26, 2007
    Date of Patent: March 2, 2010
    Assignee: Hitachi, Ltd.
    Inventors: Yuko Hanaoka, Tsukasa Fujimori, Hiroshi Fukuda
  • Publication number: 20100035436
    Abstract: A composition for etching a silicon oxide layer, a method of etching a semiconductor device, and a composition for etching a semiconductor device including a silicon oxide layer and a nitride layer including hydrogen fluoride, an anionic polymer, and deionized water, wherein the anionic polymer is included in an amount of about 0.001 to about 2 wt % based on the total weight of the composition for etching a silicon oxide layer, and an etch selectivity of the silicon oxide layer with respect to a nitride layer is about 80 or greater.
    Type: Application
    Filed: August 7, 2009
    Publication date: February 11, 2010
    Inventors: Go-Un Kim, Hyo-San Lee, Myung-Kook Park, Ho-Seok Yang, Jeong-Nam Han, Chang-Ki Hong
  • Patent number: 7659206
    Abstract: A method of treating a substrate comprises depositing silicon oxycarbide on the substrate and removing the silicon oxycarbide from the substrate. The silicon oxycarbide on the substrate is decarbonized by exposure to an energized oxygen-containing gas that heats the substrate and converts the layer of silicon oxycarbide into a layer of silicon oxide. The silicon oxide is removed by exposure to a plasma of fluorine-containing process gas. Alternatively, the remaining silicon oxide can be removed by a fluorine-containing acidic bath. In yet another version, a plasma of a fluorine-containing gas and an oxygen-containing gas is energized to remove the silicon oxycarbide from the substrate.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: February 9, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Krishna Vepa, Yashraj Bhatnagar, Ronald Rayandayan, Venkata Balagani
  • Publication number: 20100022096
    Abstract: A method for removing (e.g., etching) different dielectric materials from a semiconductor substrate includes exposing the semiconductor substrate to a solution at temperatures below and at or above a set threshold. Below the threshold temperature, the solution removes one dielectric material (e.g., silicon nitride) faster than it removes another, different dielectric material (e.g., silicon oxide). At or above the threshold temperature, the selectivity of the solution is reversed.
    Type: Application
    Filed: September 22, 2009
    Publication date: January 28, 2010
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Li Li, Don L. Yates
  • Patent number: 7648915
    Abstract: Some embodiments include methods of recessing multiple materials to a common depth utilizing etchant comprising C4F6 and C4F8. The recessed materials may be within isolation regions, and the recessing may be utilized to form trenches for receiving gatelines. Some embodiments include structures having an island of semiconductor material laterally surrounded by electrically insulative material. Two gatelines extend across the insulative material and across the island of semiconductor material. One of the gatelines is recessed deeper into the electrically insulative material than the other.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: January 19, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Larson Lindholm, Aaron R. Wilson, David K. Hwang
  • Patent number: 7648848
    Abstract: A semiconductor integrated circuit production method prepares an SOI layer thickness database that correlates measurement data of each SOI layer thickness with each SOI substrate identification data. The production method extracts the measurement data for each SOI substrate from the SOI layer thickness database, and carries out layer thickness adjustment surface treatment for the SOI substrates based on these data. A semiconductor integrated circuit production device includes an SOI layer thickness database storage unit for storing the SOI layer thickness database, and a layer thickness adjustment conditions control unit for extracting the measurement data for each SOI substrate from the SOI layer thickness database and deciding conditions for the layer thickness adjustment surface treatment based on these data.
    Type: Grant
    Filed: March 6, 2008
    Date of Patent: January 19, 2010
    Assignee: Oki Semiconductor Co., Ltd.
    Inventors: Michihiro Ebe, Masao Okihara
  • Patent number: 7625826
    Abstract: The invention relates to a method of manufacturing a semiconductor device (10) with a substrate (1) and a semiconductor body (11) which comprises at least one semiconductor element, wherein, after formation of the element, a layer structure is formed which comprises at least one electrically insulating layer (2) or an electrically conductive layer (3), wherein an opening is formed in the layer structure with the aid of a patterned photoresist layer (4) and an etching process, wherein residues are formed on the surface of the semiconductor body (11) during the etching process, and wherein the photoresist layer (4) is ashed, after the etching process, by means of a treatment with an oxygen-containing compound, after which the surface is subjected to a cleaning operation using a cleaning agent comprising a diluted solution of an acid in water and being heated to a temperature above room temperature, thereby causing the residues formed to be removed.
    Type: Grant
    Filed: July 8, 2004
    Date of Patent: December 1, 2009
    Assignee: NXP B.V.
    Inventors: Ingrid Annemarie Rink, Reinoldus Bernardus Maria Vroom
  • Patent number: 7622393
    Abstract: A semiconductor device manufacturing method includes a plasma etching process for selectively plasma etching a silicon nitride film against a silicon oxide film formed under the silicon nitride film in a substrate to be processed. The plasma etching process uses an etching gas including a CmFn gas (m, n represent integers of 1 or greater) added to a gaseous mixture of a CHxFy gas (x, y represent integers of 1 or greater) and O2 gas, wherein the flow rate of the CmFn gas is not greater than 10% of that of the O2 gas. The etching gas may further include a rare gas.
    Type: Grant
    Filed: September 5, 2006
    Date of Patent: November 24, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Kazuki Narishige
  • Patent number: 7611995
    Abstract: A silicon dioxide film removing method is capable of removing a silicon dioxide film, such as a natural oxide film or a chemical oxide film, at a temperature considerably higher than a room temperature. The silicon dioxide film removing method of removing a silicon dioxide film formed on a workpiece in a processing vessel 18 that can be evacuated uses a mixed gas containing HF gas and NH3 gas for removing the silicon dioxide film. The silicon dioxide film can be efficiently removed from the surface of the workpiece by using the mixed gas containing HF gas and NH3 gas.
    Type: Grant
    Filed: April 20, 2004
    Date of Patent: November 3, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Kazuhide Hasebe, Mitsuhiro Okada, Takashi Chiba, Jun Ogawa
  • Patent number: 7611958
    Abstract: A method of producing a capacitor that includes producing a first electrode having a first surface; forming a recess in an element, walls of the element and the first surface of the first electrode defining the recess, the element having a first surface exterior of the recess; forming a dielectric layer on the element, the dielectric layer oriented against the first surface of the element and against the walls of the element within the recess; polishing off at least a portion of the dielectric layer oriented against the first surface of the element to electrically isolate the portion of the dielectric layer located in the recess from any portion of the dielectric layer remaining outside the recess; and producing a second electrode, the second electrode oriented at least partially within the recess with the dielectric layer oriented between the first electrode and the second electrode.
    Type: Grant
    Filed: December 5, 2007
    Date of Patent: November 3, 2009
    Assignee: Infineon Technologies AG
    Inventors: Klaus Goller, Tanja Schest
  • Patent number: 7591959
    Abstract: An etchant for removing materials with a plurality of selectivities exhibits a first etch selectivity at a first temperature and a second etch selectivity at a second temperature. The etchant may include phosphoric acid, fluoboric acid, or sulfuric acid. The materials that the etchant is configured to remove may include dielectric materials, such as silicon nitride and silicon oxide. The first temperature may be about 175° C. and the second temperature may be about 155° C.
    Type: Grant
    Filed: January 4, 2006
    Date of Patent: September 22, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Don L. Yates
  • Publication number: 20090197416
    Abstract: Silicon nano wires having silicon nitride shells and a method of manufacturing the same are provided. Each silicon nano wire has a core portion formed of silicon, and a shell portion formed of silicon nitride surrounding the core portion. The method includes removing silicon oxide formed on the shell of the silicon nano wire and forming a silicon nitride shell.
    Type: Application
    Filed: April 10, 2009
    Publication date: August 6, 2009
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Eun-kyung LEE, Byoung-Iyong CHOI
  • Patent number: 7566666
    Abstract: A composition for removing an insulation material and related methods of use are disclosed. The composition comprises about 1 to 50 percent by weight of an oxidizing agent, about 0.1 to 35 percent by weight of a fluorine-containing compound, and water. The insulation material comprises at least one of a low-k material and a protection material.
    Type: Grant
    Filed: August 8, 2006
    Date of Patent: July 28, 2009
    Assignees: Samsung Electronics Co., Ltd., Dongwoo Fine-Chem Co., Ltd.
    Inventors: Chun-Deuk Lee, Jung-Jea Myung, Myun-Kyu Park, Dong-Min Kang, Byoung-Woo Son, Masayuki Takashima, Young-Nam Kim, Hyun-Joon Kim
  • Patent number: 7540968
    Abstract: A micro movable device includes a base substrate, a fixed portion bonded to the base substrate, a movable portion having a fixed end connected to the fixed portion and extending along the base substrate, and a piezoelectric drive provided on the movable portion and the fixed portion on a side opposite to the base substrate. The piezoelectric drive has a laminate structure provided by a first electrode film contacting the movable portion and the fixed portion, a second electrode film and a piezoelectric film between the first and the second electrode films. At least one of the movable portion and the fixed portion is provided with a groove extending along the piezoelectric drive.
    Type: Grant
    Filed: March 16, 2006
    Date of Patent: June 2, 2009
    Assignee: Fujitsu Limited
    Inventors: Anh Tuan Nguyen, Tadashi Nakatani, Takeaki Shimanouchi, Masahiko Imai, Satoshi Ueda
  • Patent number: 7541293
    Abstract: According to the present invention, a process for changing the form of a processed film is performed to planarize it before the processed film which is formed on a wafer is processed in a manufacturing process of a semiconductor device. As the process for changing the form of the processed film, there may be exemplified a single wafer type wet etching process. The compatibility of the processed film with processing means is taken into consideration and, for instance, the wet etching process is applied to the processed film so as to eliminate parts incompatible with the processing means, so that a distribution in-plane of the processed film is previously improved.
    Type: Grant
    Filed: October 25, 2001
    Date of Patent: June 2, 2009
    Assignees: Sony Corporation, SEZ Japan, Inc.
    Inventors: Hayato Iwamoto, Kei Kinoshita
  • Patent number: 7541286
    Abstract: A semiconductor device manufacturing method using a KrF light source is disclosed. Embodiments relate to a method for manufacturing a semiconductor device including forming an oxide film over a semiconductor substrate. A gate conductor may be formed over the oxide film. An antireflective film may be formed over the gate conductor. A photoresist film may be formed over the antireflective film. The photoresist film may be photo-etched, thereby forming a first photoresist film pattern having a first line width. The antireflective film may be etched, using the first photoresist film pattern as a mask, thereby forming an antireflective film pattern. The first photoresist film pattern may be simultaneously laterally etched, thereby forming a second photoresist film pattern having a second line width corresponding to a final design value for the gate conductor.
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: June 2, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Chang-Myung Lee
  • Patent number: 7528076
    Abstract: A method of manufacturing gate oxide layers with different thicknesses is disclosed. The method includes that a substrate is provided first. The substrate has a high voltage device region and a low voltage device region. Then, a high voltage gate oxide layer is formed on the substrate. Afterwards, a first wet etching process is performed to remove a portion of the high voltage gate oxide layer in the low voltage device region. Then, a second wet etching process is performed to remove the remaining high voltage gate oxide layer in the low voltage device region. The etching rate of the second wet etching process is smaller than that of the first wet etching process. Next, a low voltage gate oxide layer is formed on the substrate in the low voltage device region.
    Type: Grant
    Filed: May 11, 2007
    Date of Patent: May 5, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Shu-Chun Chan, Jung-Ching Chen, Shyan-Yhu Wang
  • Patent number: 7524729
    Abstract: A semiconductor integrated circuit device and a method of manufacturing the same. The surface of a substrate of an active region surrounded by an element isolation trench is horizontally flat in the center portion of the active region but falls toward the side wall of the element isolation trench in the shoulder portion of the active region. This inclined surface contains two inclined surfaces having different inclination angles. The first inclined surface near the center portion of the active region is relatively steep and the second inclined surface near the side wall of the element isolation trench is gentler than the first inclined surface. The surface of the substrate in the shoulder portion of the active region is wholly rounded and has no angular portion.
    Type: Grant
    Filed: July 27, 2005
    Date of Patent: April 28, 2009
    Assignee: Renesas Technology Corp.
    Inventors: Kenji Kanamitsu, Kouzou Watanabe, Norio Suzuki, Norio Ishitsuka
  • Patent number: 7521373
    Abstract: An improved composition and method for cleaning the surface of a semiconductor wafer are provided. The composition can be used to selectively remove a low-k dielectric material such as silicon dioxide, a photoresist layer overlying a low-k dielectric layer, or both layers from the surface of a wafer. The composition is formulated according to the invention to provide a desired removal rate of the low-k dielectric and/or photoresist from the surface of the wafer. By varying the fluorine ion component, and the amounts of the fluorine ion component and acid, component, and controlling the pH, a composition can be formulated in order to achieve a desired low-k dielectric removal rate that ranges from slow and controlled at about 50 to about 1000 angstroms per minute, to a relatively rapid removal of low-k dielectric material at greater than about 1000 angstroms per minute.
    Type: Grant
    Filed: July 12, 2004
    Date of Patent: April 21, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Donald L Yates
  • Patent number: 7517809
    Abstract: A method and composition for removing silicon-containing sacrificial layers from Micro Electro Mechanical System (MEMS) and other semiconductor substrates having such sacrificial layers is described. The etching compositions include a supercritical fluid (SCF), an etchant species, a co-solvent, and optionally a surfactant. Such etching compositions overcome the intrinsic deficiency of SCFs as cleaning reagents, viz., the non-polar character of SCFs and their associated inability to solubilize polar species that must be removed from the semiconductor substrate. The resultant etched substrates experience lower incidents of stiction relative to substrates etched using conventional wet etching techniques.
    Type: Grant
    Filed: January 8, 2007
    Date of Patent: April 14, 2009
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Michael B. Korzenski, Thomas H. Baum, Chongying Xu, Eliodor G. Ghenciu
  • Patent number: 7517811
    Abstract: A method for fabricating a floating gate of the flash memories is described. A pad oxide layer and a silicon nitride layer are formed sequentially on a substrate. A plurality of shallow trenches is formed in the substrate and an active area is defined by the shallow trenches. The silicon nitride layer is pulled back by isotropic etching to expose the corner of the trench. A corner-rounding process is performed to round the corner. An STI structure is formed in the shallow trench. Thereafter, the pad oxide layer and the silicon nitride layer are removed. A tunneling oxide layer and a first polysilicon layer are formed sequentially on the active area and the first polysilicon layer is as high as the STI structure. A second polysilicon layer is formed on the first polysilicon layer and the STI structures. A portion of the second polysilicon layer on the STI structure is removed to form the floating gate.
    Type: Grant
    Filed: April 8, 2003
    Date of Patent: April 14, 2009
    Assignee: Winbond Electronics Corporation
    Inventor: Wen-Kuei Hsieh
  • Patent number: 7514366
    Abstract: A method of depositing dielectric material into sub-micron spaces and resultant structures is provided. After a trench is etched in the surface of a wafer, a silicon nitride barrier is deposited into the trench. The silicon nitride layer has a high nitrogen content near the trench walls to protect the walls. The silicon nitride layer further from the trench walls has a low nitrogen content and a high silicon content, to allow improved adhesion. The trench is then filled with a spin-on precursor. A densification or reaction process is then applied to convert the spin-on material into an insulator. The resulting trench has a well-adhered insulator which helps the insulating properties of the trench.
    Type: Grant
    Filed: September 5, 2006
    Date of Patent: April 7, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Jigish D. Trivedi, Robert D. Patraw, Kevin L. Beaman, John A. Smythe, III
  • Patent number: 7514282
    Abstract: An array of submicron silicon (Si) tubes is provided with a method for patterning submicron Si tubes. The method provides a Si substrate, and forms a silicon dioxide film overlying the Si substrate. An array of silicon dioxide rods is formed from the silicon dioxide film, and Si3N4 tubes are formed surrounding the silicon dioxide rods. The silicon dioxide rods are etched away. Then, exposed regions of the Si substrate are etched, forming Si tubes underlying the Si3N4 tubes. Finally, the Si3N4 tubes are removed.
    Type: Grant
    Filed: January 4, 2007
    Date of Patent: April 7, 2009
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Tingkai Li, Jong-Jan Lee, Jer-Shen Maa, Sheng Teng Hsu
  • Publication number: 20090075486
    Abstract: A surface treatment solution for finely processing a glass substrate containing multiple ingredients is used for the construction of liquid crystal-based or organic electroluminescence-based flat panel display devices without invoking crystal precipitation and/or increasing surface roughness. An etching solution of the invention contains, in addition to hydrofluoric acid (HF) and ammonium fluoride (NH4F), at least one acid whose dissociation constant is larger than that of HF. The concentration of the acid in the solution can advantageously be adjusted to maximize the etching rate.
    Type: Application
    Filed: September 25, 2008
    Publication date: March 19, 2009
    Inventors: Hirohisa Kikuyama, Tatsuhiro Yabune, Masayuki Miyashita, Tadahiro Ohmi
  • Patent number: 7501300
    Abstract: The technology in which lowering of the manufacturing yield of the semiconductor products resulting from contamination impurities can be suppressed is offered. When reducing the thickness of a semiconductor wafer, so that a crushing layer which is relatively thin and has gettering function of, for example, less than 0.5 ?m, less than 0.3 ?m or less than 0.1 ?m in thickness may be formed at the back surface, and the die strength after making the semiconductor wafer into chips by dividing or almost dividing may be secured, the back surface of the semiconductor wafer is ground by the diamond wheel which held the diamond abrasive of, for example, fineness number #5000 to #20000 with vitrified cement B1 which has countless bubbles and impregnated synthetic-resin B2 which has viscosity in the countless bubbles.
    Type: Grant
    Filed: June 29, 2006
    Date of Patent: March 10, 2009
    Assignee: Renesas Technology Corp.
    Inventor: Yoshiyuki Abe
  • Publication number: 20090047790
    Abstract: Methods and etchant compositions for wet etching to selectively remove a hafnium aluminum oxide (HfAlOx) material relative to silicon oxide (SiOx) are provided.
    Type: Application
    Filed: August 16, 2007
    Publication date: February 19, 2009
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Prashant Raghu, Yi Yang
  • Publication number: 20090023265
    Abstract: Provided are an anionic surfactant-containing etching solution for removal of an oxide film, preparation methods thereof, and methods of fabricating a semiconductor device using the etching solution. The etching solution includes a hydrofluoric acid (HF), deionized water, and an anionic surfactant. The anionic surfactant is a compound in which an anime salt is added as a counter ion, as represented by R1—OSO3?HA+, R1—CO2?HA+,R1—PO42—(HA+)2,(R1)2—PO4—HA+, or R1—SO3—HA+ where R1 is a straight or branched hydrocarbon group of C4 to C22 and A is ammonia or amine. The etching solution provides a high etching selectivity ratio of an oxide film to a nitride film or a polysilicon film. Therefore, in a semiconductor device fabrication process such as a STI device isolation process or a capacitor formation process, when an oxide film is exposed together with a nitride film or a polysilicon film, the etching solution can be efficiently used in selectively removing only the oxide film.
    Type: Application
    Filed: October 1, 2008
    Publication date: January 22, 2009
    Inventors: CHANG-SUP MUN, Hyung-Ho Ko, Woo-Gwan Shim, Chang-Ki Hong, Sang-Jun Choi
  • Patent number: 7479461
    Abstract: A method for etching Si anisotropically uses a solution containing NH4F and HF.
    Type: Grant
    Filed: September 17, 2004
    Date of Patent: January 20, 2009
    Assignees: Infineon Technologies AG, Nanya Technology Corporation
    Inventors: Teng-Wang Huang, Kristin Schupke
  • Patent number: 7473607
    Abstract: A method of manufacturing a device includes doping a low voltage threshold area and a high voltage threshold area. Gate structures are formed over the low voltage threshold and high voltage threshold areas while protecting the gate structure over the low voltage threshold area. A silicidation process is performed over the high voltage threshold area while the gate structure over the low voltage threshold area remains protected. Siliciding includes depositing metal on the gate of the high voltage threshold area and annealing the metal, the metal is deposited either by CVD or sputtering followed by anneal to fully suicide the gate structure of the high voltage threshold area. The metal, preferably cobalt or nickel is deposited to a thickness of approximately 500 ?, annealed for about 3 minutes at about 400° C.
    Type: Grant
    Filed: July 6, 2005
    Date of Patent: January 6, 2009
    Assignee: International Business Machines Corporation
    Inventors: Xiangdong Chen, Rajesh Rengarajan
  • Patent number: 7468105
    Abstract: An antimicrobial cleaning composition and methods for cleaning semiconductor substrates, particularly after chemical mechanical planarization or polishing, are provided. In one embodiment, the cleaning composition combines a solvent, a cleaning agent such as a hydroxycarboxylic acid or salt thereof, and at least one antimicrobial agent resulting in a cleaning composition in which microbial growth is inhibited. Examples of suitable antimicrobial agents include a benzoic acid or salt such as potassium or ammonium benzoate, and sorbic acid or salt such as potassium sorbate. The composition is useful for cleaning a wafer and particularly for removing residual particles after a conductive layer has been planarized to a dielectric layer under the conductive layer in a chemical mechanical planarization of a semiconductor wafer with abrasive slurry particles, particularly after a CMP of copper or aluminum films.
    Type: Grant
    Filed: October 16, 2001
    Date of Patent: December 23, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Michael T. Andreas
  • Patent number: 7462544
    Abstract: A transistor including an active region and methods thereof. The active region may include corners with at least one of a rectangular, curved or rounded shape. The methods may include isotropically etching at least a portion of the active region such that the portion includes a desired shape.
    Type: Grant
    Filed: April 7, 2005
    Date of Patent: December 9, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-Young Kim, Chang-Sub Lee, Sang-Jun Park, Hyo-June Kim
  • Patent number: 7449417
    Abstract: There are provided a cleaning solution for a silicon surface containing a buffer solution including acetic acid (CH3COOH) and ammonium acetate (CH3COONH4), iodine oxidizer, hydrofluoric acid (HF), and water. In a method for fabricating a semiconductor device, a silicon substrate may have an exposed silicon surface, which may be cleaned using a cleaning solution that contains a buffer solution including acetic acid and ammonium acetate, iodine oxidizer, hydrofluoric acid, and water.
    Type: Grant
    Filed: July 20, 2006
    Date of Patent: November 11, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Yong Kim, Chang-Ki Hong, Woo-Gwan Shim
  • Patent number: 7446046
    Abstract: A selective polish for fabricating electronic devices is disclosed. The selective polish may include the use of a slurry that facilitates the selective polish of a first component but does not substantially polish a second component.
    Type: Grant
    Filed: January 6, 2005
    Date of Patent: November 4, 2008
    Assignee: Intel Corporation
    Inventors: Liming Zhang, Uday Mahajan
  • Patent number: 7442319
    Abstract: The use of an ammonium hydroxide spike to a hot tetra methyl ammonium hydroxide (TMAH) solution to form an insitu poly oxide decapping step in a polysilicon (poly) etch process, results in a single step rapid poly etch process having uniform etch initiation and a high etch selectivity, that may be used in manufacturing a variety of electronic devices such as integrated circuits (ICs) and micro electro-mechanical (MEM) devices. The etching solution is formed by adding 35% ammonium hydroxide solution to a hot 12.5% TMAH solution at about 70° C. at a rate of 1% by volume, every hour. Such an etch solution and method provides a simple, inexpensive, single step self initiating poly etch that has etch stop ratios of over 200 to 1 over underlying insulator layers and TiN layers.
    Type: Grant
    Filed: June 28, 2005
    Date of Patent: October 28, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Kevin Shea