Nitridation Patents (Class 438/775)
  • Patent number: 6432797
    Abstract: A method for forming shallow trench isolation wherein oxide divots at the edge of the isolation and active regions are reduced or eliminated is described. A trench is etched into a semiconductor substrate. An oxide layer is deposited overlying the semiconductor substrate and filling the trench. Nitrogen atoms are implanted into the oxide layer overlying the trench. The substrate is annealed whereby a layer of nitrogen-rich oxide is formed at the surface of the oxide layer overlying the trench.
    Type: Grant
    Filed: January 25, 2001
    Date of Patent: August 13, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Randall Cher Liang Cha, Tae Jong Lee, Alex See, Lap Chan, Yeow Kheng Lim
  • Publication number: 20020106907
    Abstract: A method that, using the surface-reaction mechanism of polysilicon in the chemical vapor deposition (CVD) process, starts in depositing a conformal first polysilicon layer on a uneven surface of a semiconductor wafer. The first polysilicon layer is then oxidized to a conformal first silicon oxide thin film. By repeating the previous two steps, a second polysilicon layer is formed on the surface of the first silicon oxide thin film and then oxidized to a second silicon oxide thin film with the required thickness. The conformal silicon oxide thin film formed by the method can be applied in structures of various devices in refined processes.
    Type: Application
    Filed: August 22, 2001
    Publication date: August 8, 2002
    Inventor: Ching-Yu Chang
  • Patent number: 6429101
    Abstract: A method for forming a thermally stable ohmic contact structure that includes a region of monocrystalline semiconductor and a region of polycrystalline semiconductor. At least one region of dielectric material is formed between at least a portion of the region of monocrystalline semiconductor and the region of polycrystalline semiconductor, thereby controlling grain growth of the polycrystalline semiconductor.
    Type: Grant
    Filed: January 29, 1999
    Date of Patent: August 6, 2002
    Assignee: International Business Machines Corporation
    Inventors: Ricky S. Amos, Arne W. Ballantine, Gregory Bazan, Bomy A. Chen, Douglas D. Coolbaugh, Ramachandra Divakaruni, Heidi L. Greer, Herbert L. Ho, Joseph F. Kudlacik, Bernard P. Leroy, Paul C. Parries, Gary L. Patton
  • Patent number: 6426305
    Abstract: A method of selectively forming either an epi-Si-containing or a silicide layer on portions of a Si-containing substrate wherein a nitrogen-containing layer formed by a low-temperature nitridation process is employed to prevent formation of the epi-Si-containing or silicide layer in predetermined areas of the substrate. The method of the present invention includes the steps of subjecting at least one exposed surface of a Si-containing substrate to a low- temperature nitridation process so as to form a nitrogen-containing layer at or near the at least one exposed surface, wherein other surfaces of the Si-containing substrate are protected by a patterned photoresist; removing the patterned photoresist from the other surfaces of the Si-containing substrate; and forming an epi-Si-containing layer or a silicide layer on the other surfaces of the substrate which do not contain the nitrogen-containing layer.
    Type: Grant
    Filed: July 3, 2001
    Date of Patent: July 30, 2002
    Assignee: International Business Machines Corporation
    Inventors: Anthony I. Chou, Toshiharu Furukawa, Akihisa Sekiguchi
  • Publication number: 20020090782
    Abstract: In the manufacture of a semiconductor memory device having a capacitor formed by arranging a dielectric film including two layers of a silicon oxide film and a silicon nitride film between two electrode films, a thin dielectric film is formed by forming the silicon nitride film on a silicon conductive film by thermally nitriding said silicon conductive film using NO gas, then laminating a silicon oxide film on said silicon nitride film by a CVD method. The erasing/writing speed of semiconductor memory devices, in particular of flash memories or the like, is improved.
    Type: Application
    Filed: January 31, 2002
    Publication date: July 11, 2002
    Applicant: MITSUBISHI DENKI KABUSHIKI KAISHA
    Inventor: Tatsunori Kaneoka
  • Patent number: 6417037
    Abstract: A new method for forming dual gate dielectrics having high quality where both thin and thick gate dielectric thicknesses can be controlled separately is described. An isolation region separates a first active area from a second active area in a semiconductor substrate. A first gate dielectric layer is formed overlying the semiconductor substrate in the first and second active areas wherein the first gate dielectric layer has a first electrical thickness. The first gate dielectric layer in the second active area is removed. A second gate dielectric layer is formed in the second active area wherein the second gate dielectric layer has a second electrical thickness greater than the first electrical thickness and wherein the second gate dielectric layer is nitrided. A polysilicon layer is deposited overlying the first and second gate dieectric layers.
    Type: Grant
    Filed: July 18, 2000
    Date of Patent: July 9, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventor: Gao Feng
  • Patent number: 6413881
    Abstract: A process for inhibiting the passage of dopant from a gate electrode into a thin gate oxide comprises nitridation of the upper surface of the thin gate oxide, prior to formation of the gate electrode over the gate oxide, to thereby form a barrier of nitrogen atoms in the upper surface region of the gate oxide adjacent the interface between the gate oxide and the gate electrode to inhibit passage of dopant atoms from the gate electrode into the thin gate oxide during annealing of the structure. In one embodiment, a selective portion of silicon oxide on a silicon substrate may be etched to thin the oxide to the desired thickness using a nitrogen plasma with a bias applied to the silicon substrate. Nitridation of the surface of the etched silicon oxide is then carried out in the same apparatus by removing the bias from the silicon substrate.
    Type: Grant
    Filed: March 9, 2000
    Date of Patent: July 2, 2002
    Assignee: LSI Logic Corporation
    Inventors: Sheldon Aronowitz, John Haywood, James P. Kimball, Helmut Puchner, Ravindra Manohar Kapre, Nicholas Eib
  • Patent number: 6414348
    Abstract: The present invention is directed to a method for fabricating a capacitor in a semiconductor device. The capacitor uses a Ta2O5 film as a dielectric film. The method for fabrication can include forming a nitride film on a capacitor lower electrode by a rapid thermal nitration process, and depositing the Ta2O5 film on the nitride film and heat treating using a rapid thermal process including N2O gas to form an SiON film at an interface between the capacitor lower electrode and the Ta2O5 film. A capacitor upper electrode is then formed on the Ta2O5 film. The method according to the present invention can reduce a device deterioration, improve leakage current characteristics and increase a device reliability.
    Type: Grant
    Filed: May 17, 2000
    Date of Patent: July 2, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Bok Won Cho, Su Jin Seo
  • Publication number: 20020076942
    Abstract: While a nitride film is formed on a substrate containing metallic aluminum, a fluctuation in forming nitride film can be prevented, or the formation of the nitride film can be accelerated. A substrate containing at least metallic aluminum is subjected to a heating treatment in vacuum of 10−3 torr or less, and subsequently it is subjected to a heating/nitriding treatment in a atmosphere containing at least nitrogen to form a nitride film. A porous body through which a nitrogen atoms containing gas flow is clarified by heating at a temperature of 1000° C. or more under pressure of 10−4 torr or less, and then the porous body is contacted the atmosphere during the heating/nitriding step.
    Type: Application
    Filed: July 30, 2001
    Publication date: June 20, 2002
    Applicant: NGK Insulators, Ltd.
    Inventors: Morimichi Watanabe, Shinji Kawasaki, Takahiro Ishikawa
  • Patent number: 6407008
    Abstract: Methods for forming nitrided oxides in semiconductor devices by rapid thermal oxidation, in which a semiconductor substrate having an exposed silicon surface is placed into a thermal process chamber. Then, an ambient gas comprising N2O and an inert gas such as argon or N2 is introduced into the process chamber. Next, the silicon surface is heated to a predefined process temperature, thereby oxidizing at least a portion of the silicon surface. Finally, the semiconductor substrate is cooled. An ultra-thin oxide layer with uniform oxide characteristics, such as more boron penetration resistance, good oxide composition and thickness uniformity, increased charge to breakdown voltage in the oxide layer, can be formed.
    Type: Grant
    Filed: May 5, 2000
    Date of Patent: June 18, 2002
    Assignee: Integrated Device Technology, Inc.
    Inventors: Yingbo Jia, Ohm-Guo Pan, Long-Ching Wang, Jeong Yeol Choi, Guo-Qiang (Patrick) Lo, Shih-Ked Lee
  • Patent number: 6399484
    Abstract: A semiconductor device fabricating method includes a preparatory process that brings a first source gas containing tungsten atoms into contact with a workpiece and that does not bring a second source gas containing nitrogen atoms into contact with the workpiece, and a film forming process that forms a tungsten nitride film on the workpiece by using the first and the second source gases so as to fabricate a semiconductor device. The semiconductor device fabricating method is capable of preventing the tungsten nitride film from peeling off from a layer underlying the same when the tungsten nitride film is subjected to heat treatment.
    Type: Grant
    Filed: October 25, 1999
    Date of Patent: June 4, 2002
    Assignee: Tokyo Electron Limited
    Inventors: Hideaki Yamasaki, Satoshi Yonezawa, Susumu Arima, Yumiko Kawano, Mitsuhiro Tachibana, Keizo Hosoda
  • Patent number: 6399519
    Abstract: A method for fabricating a semiconductor device including a silicon substrate includes forming a thin Nitrogen Oxide base film on a substrate, and then annealing the substrate in ammonia. An ultra-thin nitride film is deposited on the base film. The semiconductor device is then oxidized in Nitrogen Oxide. FET gates are then conventionally formed over the gate insulator. The resultant gate insulator is electrically insulative without degrading performance with respect to a conventional gate oxide insulator.
    Type: Grant
    Filed: January 7, 2000
    Date of Patent: June 4, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Effiong Ibok
  • Patent number: 6387799
    Abstract: A method for fabricating a titanium silicide film in which when a titanium silicide film is fabricated by using a Chemical Vapor Deposition, an NH3-gas plasma process or an N2-gas plasma process is conducted for several times to minimize etching of the silicon substrate and consumption of a dopant of an impurity layer, thereby restraining a leakage current from increasing. The method for fabricating a titanium silicide film includes the steps of: (a) depositing a titanium silicide film as thick as {fraction (1/n)} of a total desired thickness on a silicon substrate by using the Chemical Vapor Deposition method; (b) processing the titanium silicide film with a nitrogen-gas plasma or ammonia-gas plasma; and (c) repeatedly performing step (a) and step (b) n times.
    Type: Grant
    Filed: January 23, 2001
    Date of Patent: May 14, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Yoon-Jik Lee
  • Patent number: 6387823
    Abstract: A method for controlling a deposition process, includes providing a wafer in a chamber of a deposition tool, the deposition tool being adapted to operate in accordance with a recipe; providing reactant gases to the chamber, the reactant gases reacting to form a layer on the wafer; allowing exhaust gases to exit the chamber; measuring characteristics of exhaust gases; and changing the recipe based on the characteristics of the exhaust gases. A deposition tool includes a chamber, a gas supply line, a gas exhaust line, a gas analyzer, and a controller. The chamber is adapted to receive a wafer. The gas supply line is coupled to the chamber for providing reactive gases. The gas exhaust line is coupled to the chamber for receiving exhaust gases. The gas analyzer is coupled to the gas exhaust line and adapted to determine characteristics of the exhaust gases. The controller is adapted to control the processing of the wafer in the chamber based on the characteristics of the exhaust gases.
    Type: Grant
    Filed: May 23, 2000
    Date of Patent: May 14, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thomas Sonderman, Anthony J. Toprac
  • Publication number: 20020055270
    Abstract: The present invention describes a method of processing a substrate. According to the present invention a dielectric layer is formed on the substrate. The dielectric layer is then exposed in a first chamber to activated nitrogen atoms formed in a second chamber to form a nitrogen passivated dielectric layer. A metal nitride film is then formed on the nitrogen passivated dielectric layer.
    Type: Application
    Filed: October 18, 2001
    Publication date: May 9, 2002
    Inventors: Pravin Narwankar, Turgut Sahin
  • Patent number: 6383875
    Abstract: A first electrode and a doped oxide layer laterally proximate thereof are provided over a substrate. A silicon nitride layer is formed over both the doped oxide layer and the first electrode to a thickness of no greater than 80 Angstroms over at least the first electrode by low pressure chemical vapor deposition at a pressure of at least 1 Torr, a temperature of less than 700° C. and using feed gases comprising a silicon hydride and ammonia. The substrate with silicon nitride layer is exposed to oxidizing conditions comprising at least 700° C. to form a silicon dioxide layer over the silicon nitride layer, with the thickness of silicon nitride over the doped oxide layer being sufficient to shield oxidizable substrate material beneath the doped oxide layer from oxidizing during the exposing. A second electrode is formed over the silicon dioxide layer and the first electrode. In another implementation, a layer comprising undoped oxide is formed over a doped oxide layer.
    Type: Grant
    Filed: May 9, 2001
    Date of Patent: May 7, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Randhir P. S. Thakur
  • Patent number: 6380058
    Abstract: A barrier layer is formed at a bottom portion, for example, of a through hole. The thickness of the barrier layer at an upper area, for example, of the through hole is made uniform. The method of manufacturing a semiconductor device includes the steps of: forming a barrier layer by sputtering on a main surface of a silicon substrate while maintaining a first distance between a main surface of the target and the main surface of the silicon substrate; and forming a titanium nitride layer by sputtering on and adjacent to a titanium nitride layer by scattering a target material while maintaining a second distance longer than the first distance between the main surface of the target and the main surface of the silicon substrate.
    Type: Grant
    Filed: March 8, 1999
    Date of Patent: April 30, 2002
    Assignees: Mitsubishi Denki Kabushiki Kaisha, Ryoden Semiconductor System Engineering Corporation
    Inventors: Seiji Manabe, Mitsuo Kimoto
  • Patent number: 6380104
    Abstract: A method for forming upon a semiconductor substrate employed within a microelectronics fabrication a composite gate insulating layer of MOS device comprising a silicon oxide dielectric layer and a high-K dielectric layer. The method employs thermal oxidation of a silicon semiconductor substrate to form an initial silicon oxide dielectric layer. A RPN plasma method is employed to form a layer of silicon nitride high-k dielectric material partly into the silicon oxide dielectric layer. The composite dielectric layer is dielectrically equivalent to the initial silicon oxide dielectric layer, with equivalent performance, reliability and manufacturability of the MOS device.
    Type: Grant
    Filed: August 10, 2000
    Date of Patent: April 30, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Mo-Chiun Yu
  • Patent number: 6372581
    Abstract: A method of nitriding the gate oxide layer of a semiconductor device includes the chemical growth on a silicon substrate of a native silicon oxide layer ≦1 nm thick; treating said substrate coated with the native silicon oxide layer with gas NO at a temperature ≦700° C. and a pressure level ≦104 Pa to obtain a nitrided native silicon oxide layer; and the growth of the gate oxide layer. The method is applicable to PMOS devices. Further modifications and alternative embodiments of various aspects of the invention will be apparent to those skilled in the art in view of this description. Accordingly, this description is to be construed as illustrative only and is for the purpose of teaching those skilled in the art the general manner of carrying out the invention. It is to be understood that the forms of the invention shown and described herein are to be taken as the presently preferred embodiments.
    Type: Grant
    Filed: October 18, 1999
    Date of Patent: April 16, 2002
    Assignee: France Telecom
    Inventors: Daniel Bensahel, Yves Campidelli, François Martin, Caroline Hernandez
  • Publication number: 20020039835
    Abstract: In the fabrication of EDRAM/SDRAM silicon chips with ground rules beyond 0.18 microns, a Si3N4 barrier layer is deposited onto the patterned structure during the borderless polysilicon contact fabrication. It is required that this layer be conformal and has a high hydrogen atom content to prevent junction leakage. These objectives are met with the method of the present invention. In a first embodiment, the Si3N4 layer is deposited in a Rapid Thermal Chemical Vapor Deposition (RTCVD) reactor using a NH3/SiH4 chemistry at a temperature and a pressure in the 600-950° C. and 50-200 Torr ranges respectively. In a second embodiment, it is deposited in a Low Pressure Chemical Vapor Deposition (LPCVD) furnace using a NH3/SiH2Cl2 chemistry (preferred ratio 1:1) at a temperature and a pressure in the 640-700° C. and 0.2-0.8 Torr ranges respectively.
    Type: Application
    Filed: June 27, 2001
    Publication date: April 4, 2002
    Applicant: International Business Machines Corporation
    Inventors: Christophe Balsan, Corinne Buchet, Patrick Raffin, Stephane Thioliere
  • Patent number: 6355579
    Abstract: Method for forming a gate oxide film in a semiconductor device, in which a gate oxide film is formed by a first and second processes of oxidizing and nitriding, wherein the first process uses gases having different nitrogen contents from the second process for improving device performances, including the steps of (1) providing a semiconductor substrate, (2) conducting a thermal process in a compound gas environment of oxygen and nitrogen having a nitrogen content below 5%, to form a first oxynitride film on the semiconductor substrate, and (3) conducting a thermal process in a compound gas environment of oxygen and nitrogen having a nitrogen content equal to or over 5%, to form a second oxynitride film.
    Type: Grant
    Filed: November 18, 1999
    Date of Patent: March 12, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Sa Kyun Ra
  • Publication number: 20020028584
    Abstract: The present invention relates to a film forming method of forming an interlayer insulating film having a low dielectric constant to cover a wiring. In construction, an insulating film for covering a wiring is formed on the substrate by plasmanizing a film forming gas, that consists of any one selected from a group consisting of alkoxy compound having Si—H bonds and siloxane having Si—H bonds and any one oxygen-containing gas selected from a group consisting of O2, N2O, NO2, CO, CO2, and H2O, to react.
    Type: Application
    Filed: July 13, 2001
    Publication date: March 7, 2002
    Applicant: CANON SALES CO., INC., SEMICONDUCTOR PROCESS LABORATORY CO., LTD.
    Inventors: Taizo Oku, Junichi Aoki, Youichi Yamamoto, Takashi Koromokawa, Kazuo Maeda
  • Patent number: 6352940
    Abstract: A method of passivating an integrated circuit (IC) is provided. An insulating layer is formed onto the IC. An adhesion layer is formed onto a surface of the insulating layer by treating the surface of the insulating layer with a gas and gas plasma. A first passivation layer is formed upon the adhesion layer, the first passivation layer and the gas and gas plasma including at least one common chemical element.
    Type: Grant
    Filed: June 26, 1998
    Date of Patent: March 5, 2002
    Assignee: Intel Corporation
    Inventors: Krishna Seshan, M. Lawrence A. Dass, Geoffrey L. Bakker
  • Patent number: 6350708
    Abstract: A silicon nitride deposition method includes providing a substrate surface. Silicon is predeposited on at least a portion of the surface. After predeposition of the silicon, silicon nitride is deposited. The substrate surface may include one or more component surfaces and when at least a monolayer of silicon is predeposited thereon silicon nitride nucleation at the substrate surface is performed at a substantially equivalent rate independent of the different component surfaces.
    Type: Grant
    Filed: November 1, 2000
    Date of Patent: February 26, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Kelly T. Hurley
  • Patent number: 6350685
    Abstract: A semiconductor device is manufactured by a method including the steps of forming a through hole in an interlayer dielectric layer (silicon oxide layer, BPSG layer, etc.) formed on a semiconductor substrate having a device element. A barrier layer is formed on surfaces of the interlayer dielectric layer and the through hole. A wiring layer is formed on the barrier layer. The barrier layer is formed by a method including the following steps. A titanium layer that forms at least a part of the barrier layer is formed. A heat treatment is conducted in a nitrogen atmosphere to form a titanium nitride layer at least on a surface of the titanium layer. The titanium nitride layer is contacted with oxygen in an atmosphere including oxygen. A heat treatment is conducted in a nitrogen atmosphere to form titanium oxide layers and to densify the titanium nitride layer.
    Type: Grant
    Filed: October 5, 1999
    Date of Patent: February 26, 2002
    Assignee: Seiko Epson Corporation
    Inventors: Michio Asahina, Eiji Suzuki, Kazuki Matsumoto, Naohiro Moriya
  • Patent number: 6350707
    Abstract: The present invention provides a method of fabricating capacitor dielectric layer. A bottom electrode covered by a native oxide layer on a chip is provided. The chip is disposed into a low pressure furnace. A mixture of dichlorosilane and ammonia is introduced into the low pressure furnace to form a nitride layer on the native oxide layer. In the same low pressure furnace, nitrogen monoxide or nitric oxygen is infused to form an oxynitride layer on the nitride layer.
    Type: Grant
    Filed: September 3, 1999
    Date of Patent: February 26, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Tse-Wei Liu, Jumn-Min Fan, Weichi Ting
  • Patent number: 6348420
    Abstract: Multiple sequential processes are conducted in situ in a single-wafer processing chamber, particularly for forming ultrathin dielectric stacks of high quality. The chamber exhibits single-pass, laminar gas flow, facilitating safe and clean sequential processing. Furthermore, a remote plasma source widens process windows, permitting isothermal sequential processing and thereby reducing the transition time for temperature ramping between in situ steps. In exemplary processes, extremely thin interfacial silicon oxide, nitride and/or oxynitride is grown, followed by in situ silicon nitride deposition. Cleaning, anneal and electrode deposition can also be conducted in situ, reducing transition time without commensurate loss in reaction rates.
    Type: Grant
    Filed: December 23, 1999
    Date of Patent: February 19, 2002
    Assignee: ASM America, Inc.
    Inventors: Ivo Raaijmakers, Chris Werkhoven
  • Patent number: 6344394
    Abstract: In the manufacture of a semiconductor memory device having a capacitor formed by arranging a dielectric film including two layers of a silicon oxide film and a silicon nitride film between two electrode films, a thin dielectric film is formed by forming the silicon nitride film on a silicon conductive film by thermally nitriding said silicon conductive film using NO gas, then laminating a silicon oxide film on said silicon nitride film by a CVD method. The erasing/writing speed of semiconductor memory devices, in particular of flash memories or the like, is improved.
    Type: Grant
    Filed: November 16, 1999
    Date of Patent: February 5, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Tatsunori Kaneoka
  • Publication number: 20020006737
    Abstract: The present invention relates to a method for performing coating and developing treatment for a substrate, which comprises the steps of: supplying a coating solution to the substrate to form a coating film on the substrate; performing heat treatment for the substrate on which the coating film is formed; cooling the substrate after the heat treatment; performing exposure processing for the coating film formed on the substrate; and developing the substrate after the exposure processing, and further comprises the step of supplying a treatment gas to form a treatment film on a surface of the coating film after the step of forming the coating film and before the step of performing the exposure processing for the substrate.
    Type: Application
    Filed: May 9, 2001
    Publication date: January 17, 2002
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Junichi Kitano, Yuji Matsuyama, Takahiro Kitano, Hidetami Yaegashi
  • Patent number: 6337289
    Abstract: The present invention describes a method of processing a substrate. According to the present invention a dielectric layer is formed on the substrate. The dielectric layer is then exposed in a first chamber to activated nitrogen atoms formed in a second chamber to form a nitrogen passivated dielectric layer. A metal nitride film is then formed on the nitrogen passivated dielectric layer.
    Type: Grant
    Filed: September 24, 1999
    Date of Patent: January 8, 2002
    Assignee: Applied Materials. Inc
    Inventors: Pravin Narwankar, Turgut Sahin
  • Patent number: 6335261
    Abstract: A method is described for filling a high-aspect-ratio feature, in which compatible deposition and etching steps are performed in a sequence. The feature is formed as an opening in a substrate having a surface; a fill material is deposited at the bottom of the feature and on the surface of the substrate; deposition on the surface adjacent the feature causes formation of an overhang structure partially blocking the opening. The fill material is then reacted with a reactant to form a solid reaction product having a greater specific volume than the fill material. The overhang structure is thus converted into a reaction product structure blocking the opening. The reaction product (including the reaction product structure) is then desorbed, thereby exposing unreacted fill material at the bottom of the feature. The depositing and reacting steps may be repeated, with a final depositing step to fill the feature. Each sequence of depositing, reacting and desorbing reduces the aspect ratio of the feature.
    Type: Grant
    Filed: May 31, 2000
    Date of Patent: January 1, 2002
    Assignee: International Business Machines Corporation
    Inventors: Wesley Natzle, Richard A. Conti, Laertis Economikos, Thomas Ivers, George D. Papasouliotis
  • Patent number: 6335295
    Abstract: Water for use in wet oxidation of semiconductor surfaces may be generated by reacting ultra pure hydrogen and ultra pure gaseous oxygen without a flame. Because no flame is used, contamination due to a flame impinging on components of a “torch” is not a problem. Flame-free generation of water is accomplished by reacting hydrogen and oxygen under conditions that do not result in ignition. This may be accomplished by provided a diluted hydrogen stream in which molecular hydrogen is mixed with a diluent such as a noble gas or nitrogen. This use of diluted hydrogen also reduces or eliminates the danger of explosion. This can simplify the apparatus design by eliminating the need for complicated interlocks, flame detectors, etc.
    Type: Grant
    Filed: January 15, 1999
    Date of Patent: January 1, 2002
    Assignee: LSI Logic Corporation
    Inventor: Rajiv Patel
  • Patent number: 6326321
    Abstract: In one aspect, the invention includes a semiconductor fabrication process, comprising: a) providing a substrate; b) forming a layer of silicon nitride over the substrate, the layer having a thickness; and c) enriching a portion of the thickness of the silicon nitride layer with silicon, the portion comprising less than or equal to about 95% of the thickness of the layer of silicon nitride. In another aspect, the invention includes a semiconductor fabrication process, comprising: a) providing a substrate; b) forming a layer of silicon nitride over the substrate, the layer having a thickness; and c) increasing a refractive index of a first portion of the thickness of the silicon nitride layer relative to a refractive index of a second portion of the silicon nitride layer, the first portion comprising less than or equal to about 95% of the thickness of the silicon nitride layer.
    Type: Grant
    Filed: June 27, 2000
    Date of Patent: December 4, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Scott Jeffrey DeBoer, John T. Moore, Mark Fischer, Randhir P. S. Thakur
  • Publication number: 20010046742
    Abstract: A barrier layer comprising silicon mixed with an impurity is disclosed for protection of gate dielectrics in integrated transistors. In particular, the barrier layer comprises silicon incorporating nitrogen. The nitrogen can be incorporated into an upper portion of the gate polysilicon during deposition, or a silicon layer doped with nitrogen after silicon deposition. The layer is of particular utility in conjunction with CVD tungsten silicide straps.
    Type: Application
    Filed: June 28, 2001
    Publication date: November 29, 2001
    Inventor: Nanseng Jeng
  • Patent number: 6323139
    Abstract: In one aspect, the invention includes a semiconductor processing method, comprising: a) providing a silicon nitride material having a surface; b) forming a barrier layer over the surface of the material, the barrier layer comprising silicon and nitrogen; and c) forming a photoresist over and against the barrier layer.
    Type: Grant
    Filed: December 7, 1999
    Date of Patent: November 27, 2001
    Assignee: Micron Technology, Inc.
    Inventors: John T. Moore, Scott Jeffrey DeBoer, Mark Fischer, J. Brett Rolfson, Annette L. Martin, Ardavan Niroomand
  • Patent number: 6323138
    Abstract: The invention encompasses methods of forming capacitors, methods of forming silicon nitride layers on silicon-comprising substrates, methods for densifying silicon nitride layers, methods for forming capacitors, and capacitors. In one aspect, the invention includes a method of densifying a silicon nitride layer comprising subjecting a silicon nitride layer to a nitrogen-comprising ambient atmosphere having at least about two atmospheres of pressure. In another aspect, the invention includes a method of forming a capacitor comprising: a) forming a first capacitor plate, the first capacitor plate comprising silicon and having a surface; b) forming a dielectric layer proximate the first capacitor plate, the dielectric layer comprising a silicon nitride layer and being formed by exposing the first capacitor plate surface to a nitrogen-comprising ambient atmosphere having at least about two atmospheres of pressure; and c) forming a second capacitor plate proximate the dielectric layer.
    Type: Grant
    Filed: June 8, 1999
    Date of Patent: November 27, 2001
    Assignee: Micron Technology, Inc.
    Inventor: Trung Tri Doan
  • Patent number: 6316371
    Abstract: Method for the chemical treatment of a semiconductor substrate at a raised temperature, such as oxidization. To achieve a uniform treatment of comparatively large wafers in the radial direction, as well as to realize a uniform treatment during the simultaneous treatment of a number of semiconductor substrates placed one after each other, it is proposed, starting with an inert atmosphere, to gradually add oxygen and at the end of the treatment to gradually reduce the oxygen portion.
    Type: Grant
    Filed: March 30, 1999
    Date of Patent: November 13, 2001
    Assignee: ASM International N.V.
    Inventors: Theodorus Gerardus Maria Oosterlaken, Frank Huussen, Remco Van Der Berg
  • Patent number: 6316372
    Abstract: In one aspect, the invention includes a semiconductor fabrication process, comprising: a) providing a substrate; b) forming a layer of silicon nitride over the substrate, the layer having a thickness; and c) enriching a portion of the thickness of the silicon nitride layer with silicon, the portion comprising less than or equal to about 95% of the thickness of the layer of silicon nitride. In another aspect, the invention includes a semiconductor fabrication process, comprising: a) providing a substrate; b) forming a layer of silicon nitride over the substrate, the layer having a thickness; and c) increasing a refractive index of a first portion of the thickness of the silicon nitride layer relative to a refractive index of a second portion of the silicon nitride layer, the first portion comprising less than or equal to about 95% of the thickness of the silicon nitride layer.
    Type: Grant
    Filed: April 7, 1998
    Date of Patent: November 13, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Scott Jeffrey DeBoer, John T. Moore, Randhir P. S. Thakur, Mark Fischer
  • Patent number: 6306726
    Abstract: In one aspect, the invention encompasses a LOCOS process. A pad oxide layer is provided over a silicon-comprising substrate. A silicon nitride layer is provided over the pad oxide layer and patterned with the pad oxide layer to form masking blocks. The patterning exposes portions of the silicon-comprising substrate between the masking blocks. The masking blocks comprise sidewalls. Polysilicon is formed along the sidewalls of the masking blocks. Subsequently, the silicon-comprising substrate and polysilicon are oxidized to form field oxide regions proximate the masking blocks. In another aspect, the invention encompasses a semiconductive material structure. Such structure includes a semiconductive material substrate and at least one composite block over the semiconductive material substrate. The composite block comprises a layer of silicon dioxide and a layer of silicon nitride over the layer of silicon dioxide. The silicon nitride and silicon dioxide have coextensive opposing sidewalls.
    Type: Grant
    Filed: August 30, 1999
    Date of Patent: October 23, 2001
    Assignee: Micron Technology, Inc.
    Inventor: Siang Ping Kwok
  • Publication number: 20010024886
    Abstract: An exemplary embodiment of the present invention discloses a method for forming a forming a storage capacitor having a uniform dielectric film, by a the steps of: forming a bottom electrode of the storage capacitor and an insulation material about the bottom electrode, the bottom electrode comprises a nitridation receptive material and the insulation material comprises a nitridation resistive material; depositing a layer of non-doped silicon to a thickness of 20 Å or less over the bottom electrode and the insulation material; converting the silicon layer to a silicon nitride compound; depositing a silicon nitride of uniform thickness directly on the silicon nitride compound while using the silicon nitride compound as a nitride-nucleation enhancing surface; exposing the silicon nitride compound and the silicon nitride layer to an oxidation ambient to form a storage capacitor dielectric film; and then forming a top electrode of the storage capacitor over the storage capacitor dielectric film.
    Type: Application
    Filed: April 19, 2001
    Publication date: September 27, 2001
    Inventor: Trung Doan
  • Patent number: 6291319
    Abstract: A method for fabricating a semiconductor structure comprises the steps of providing a silicon substrate (10) having a surface (12); forming on the surface of the silicon substrate an interface (14) comprising a single atomic layer of silicon, nitrogen, and a metal; and forming one or more layers of a single crystal oxide (26) on the interface. The interface comprises an atomic layer of silicon, nitrogen, and a metal in the form MSiN2, where M is a metal. In a second embodiment, the interface comprises an atomic layer of silicon, a metal, and a mixture of nitrogen and oxygen in the form MSi[N1−Ox]2, where M is a metal and X is 0≦X<1.
    Type: Grant
    Filed: December 17, 1999
    Date of Patent: September 18, 2001
    Assignee: Motorola, Inc.
    Inventors: Zhiyi Yu, Jun Wang, Ravindranath Droopad, Jamal Ramdani
  • Patent number: 6284663
    Abstract: An electronic device having an improved capacitor structure is formed by depositing a metal layer defining a first electrode on a film of high dielectric constant material, and then depositing the dielectric layer of the capacitor structure on the first electrode. This resulting structure is then exposed to a nitrogen plasma and the top electrode is formed. Exposing the first electrode to a plasma of pure nitrogen prevents the partial oxidation of the first electrode and reduces the density of charge traps at the electrode/dielectric interface. The dielectric film is passivated with the nitrogen material before forming the top electrode to prevent interdiffusion between the electrode and the dielectric.
    Type: Grant
    Filed: November 4, 1999
    Date of Patent: September 4, 2001
    Assignee: Agere Systems Guardian Corp.
    Inventor: Glenn B. Alers
  • Patent number: 6284580
    Abstract: In a pretreatment process, a silicon oxide film (13) with nitrogen content is formed on a semiconductor substrate (10). In a segregation process executing heat treatment in an inert gas atmosphere, a silicon nitride layer (14) segregates out at the interface of the silicon substrate (10) and the silicon oxide film (13). In a high dielectric film forming process, the unnecessary silicon oxide film (13) on the silicon nitride layer (14) is removed, a high dielectric oxide layer (15) is formed on the exposed silicon nitride layer (14). Whereby, a gate electrode (16) consisting of the silicon nitride layer (14) and the high dielectric oxide layer (15) is formed.
    Type: Grant
    Filed: June 9, 2000
    Date of Patent: September 4, 2001
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Shinobu Takehiro
  • Patent number: 6281143
    Abstract: A method for forming borderless contact is disclosed. The method includes providing a substrate with active areas and a trench isolation region in which the active areas are silcide. Then, the substrate is nitridized such that a titanium nitride layer is formed on the active areas and a silicon oxynitride is formed on the trench isolation region. A dielectric layer is deposited on the substrate and an opening is etched in the dielectric layer in which the opening overlies both a portion of the trench isolation region and a portion of the active area.
    Type: Grant
    Filed: June 17, 1999
    Date of Patent: August 28, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Michael W C Huang, Hsueh-Hao Shih, Gwo-Shii Yang, Tri-Rung Yew
  • Patent number: 6271054
    Abstract: The dark current defects in a charge couple device are reduced by employing a hydrogen anneal followed by depositing a silicon nitride barrier layer by RTCVD.
    Type: Grant
    Filed: June 2, 2000
    Date of Patent: August 7, 2001
    Assignee: International Business Machines Corporation
    Inventors: Arne W. Ballantine, George A. Dunbar, III, James V. Hart, III, Donna K. Johnson, Glenn C. MacDougall
  • Patent number: 6265327
    Abstract: Disclosed are a method and apparatus for forming an insulating film on the surface of a semiconductor substrate capable of improving the quality and electrical properties of the insulating film with no employment of high-temperature heating and with good controllability. After the surface of a silicon substrate is cleaned, a silicon dioxide film having a thickness of 1-20 nm is formed on the substrate surface. The silicon substrate is exposed to plasma generated by electron impact, while the silicon substrate is maintained at a temperature of 0° C. to 700° C. Thus, nitrogen atoms are incorporated into the silicon dioxide film, obtaining a modified insulating film having good electrical properties.
    Type: Grant
    Filed: June 17, 1998
    Date of Patent: July 24, 2001
    Assignees: Japan Science and Technology Corp., Matsushita Electronics Corp.
    Inventors: Hikaru Kobayashi, Kenji Yoneda
  • Patent number: 6261925
    Abstract: A method of forming an isolation structure in a semiconductor substrate is described. A trench is first etched into a semiconductor substrate. A first oxide layer is then formed with the trench. The first oxide layer is subjected to a nitrogen-oxide gas ambient and is annealed to form an oxy-nitride surface on the first oxide layer and a silicon-oxynitride interface between the first oxide layer and the semiconductor substrate. A second oxide layer is then deposited over the oxy-nitride surface of the first oxide layer. The method and isolation structure of the present invention prevents dopant outdiffusion, reduces trench stresses, allows more uniform growth of thin gate oxides, and permits the use of thinner gate oxides.
    Type: Grant
    Filed: May 8, 1998
    Date of Patent: July 17, 2001
    Assignee: Intel Corporation
    Inventors: Reza Arghavani, Robert S. Chau, Simon Yang, John Graham
  • Patent number: 6261973
    Abstract: A method is disclosed of nitridating an oxide containing surface the disclosed method includes the steps of, obtaining a substrate, growing an oxide layer on the substrate, exposing the surface of the oxide layer to a nitrogen ion containing plasma at, e.g., room temperature, wherein the nitrogen ions form a nitrided layer on the oxide layer resistant to chemistries used to etch oxide.
    Type: Grant
    Filed: December 18, 1998
    Date of Patent: July 17, 2001
    Assignee: Texas Instruments Incorporated
    Inventors: George R. Misium, Sunil V. Hattangady
  • Patent number: 6258673
    Abstract: A method of forming an integrated circuit having four thicknesses of gate oxide in four sets of active areas by: oxidizing the silicon substrate to form an initial oxide having a thickness appropriate for a desired threshold voltage transistor; depositing a blocking mask to leave a first and fourth set of active areas exposed; implanting the first and fourth set of active areas with a dose of growth-altering ions, thereby making the first set of active areas more or less resistant to oxidation and simultaneously making the fourth set of active areas susceptible to accelerated oxidation; stripping the blocking mask; forming a second blocking mask to leave the first and second sets of active areas exposed; stripping the initial oxide in exposed active areas; stripping the second blocking mask; surface cleaning the wafer; and oxidizing the substrate in a second oxidation step such that a standard oxide thickness is formed in the second set of active areas, whereby an oxide thickness of more or less than the stan
    Type: Grant
    Filed: December 22, 1999
    Date of Patent: July 10, 2001
    Assignee: International Business Machines Corporation
    Inventors: Kevin M. Houlihan, Liang-Kai Han, Dale W. Martin
  • Patent number: 6258690
    Abstract: In a method of manufacturing a semiconductor device having a capacitor portion consisting of a lower electrode, a dielectric film, and an upper electrode on a semiconductor substrate, a silicon film is formed on a surface of the lower electrode and a surface of an insulating film adjacent to the lower electrode. Annealing is preformed in an atmosphere containing nitrogen or ammonia to nitride the silicon film. A silicon nitride film is formed by LP-CVD.
    Type: Grant
    Filed: March 27, 1997
    Date of Patent: July 10, 2001
    Assignee: NEC Corporation
    Inventor: Masanobu Zenke