Nitridation Patents (Class 438/775)
  • Patent number: 6610571
    Abstract: A new method is provided for the removal of liner oxide from the surface of a gate electrode during the creation of the gate electrode. A layer of gate oxide is formed over the surface of a substrate, a layer of gate electrode such as polyimide is deposited over the layer of gate oxide. The gate electrode and the layer of gate oxide are patterned. A layer of liner oxide is deposited, gate spacers are formed over the liner oxide, exposing surfaces of the liner oxide. The created structure is nitrided by a plasma stream containing N2/H2, reducing the etch rate of the exposed liner oxide. The liner oxide is then removed by applying a wet etch, contact regions to the gate electrode are salicided.
    Type: Grant
    Filed: February 7, 2002
    Date of Patent: August 26, 2003
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Ying-Lin Chen, Chiang-Lang Yen, Ling-Sung Wang
  • Patent number: 6607992
    Abstract: An antireflection coating has two-layer structure including lower and upper silicon nitride films (p-SiN films) formed by plasma CVD. For the lower p-SiN film, the real part of its complex index of refraction is set in the range not less than 1.9 nor more than 2.5, the imaginary part is set in the range of not less than 0.9 nor more than 1.7, and the film thickness is set in the range of not less than 20 nm nor more than 60 nm. For the upper p-SiN film, the real part of its complex index of refraction is set in the range not less than 1.7 nor more than 2.4, the imaginary part is set in the range of not less than 0.15 nor more than 0.75, and the film thickness is set in the range of not less than 10 nm nor more than 40 nm.
    Type: Grant
    Filed: September 19, 2001
    Date of Patent: August 19, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Kouichirou Tsujita, Atsumi Yamaguchi, Junjiro Sakai, Kouji Oda, Koichiro Narimatsu
  • Patent number: 6607963
    Abstract: The present invention discloses a method for forming a capacitor of a semiconductor device which can increase a capacitance and prevent a leakage current at the same time.
    Type: Grant
    Filed: May 31, 2001
    Date of Patent: August 19, 2003
    Assignee: Hynix Semiconductor Inc.
    Inventors: Kyong Min Kim, Han Sang Song
  • Patent number: 6607946
    Abstract: This invention is embodied in an improved process for growing high-quality silicon dioxide layers on silicon by subjecting it to a gaseous mixture of nitrous oxide (N2O) and ozone (O3). The presence of O3 in the oxidizing ambiance greatly enhances the oxidation rate compared to an ambiance in which N2O is the only oxidizing agent. In addition to enhancing the oxidation rate of silicon, it is hypothesized that the presence of O3 interferes with the growth of a thin silicon oxynitride layer near the interface of the silicon dioxide layer and the unreacted silicon surface which makes oxidation in the presence of N2O alone virtually self-limiting. The presence of N2O in the oxidizing ambiance does not impair oxide reliability, as is the case when silicon is oxidized with N2O in the presence of a strong, fluorine-containing oxidizing agent such as NF3 or SF6.
    Type: Grant
    Filed: April 13, 1998
    Date of Patent: August 19, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Singh Sandhu, Randhir PS Thakur
  • Patent number: 6599807
    Abstract: A method for manufacturing a capacitor of a semiconductor device is provided. The method includes the steps of: forming a first electrode on a semiconductor substrate; forming a dielectric layer on the first electrode; forming a second electrode on the dielectric layer; first annealing the capacitor having the first electrode, the dielectric layer, and the second electrode under oxygen atmosphere; and second annealing the capacitor having the first electrode, the dielectric layer, and the second electrode under vacuum.
    Type: Grant
    Filed: December 20, 2001
    Date of Patent: July 29, 2003
    Assignee: Samsung Electronics, Co., LTD
    Inventors: Jae-soon Lim, Seung-hwan Lee, Han-mei Choi, Yun-jung Lee, Gab-jin Nam, Ki-yeon Park, Young-sun Kim, Sung-tae Kim
  • Publication number: 20030139061
    Abstract: A barrier layer comprising silicon mixed with an impurity is disclosed for protection of gate dielectrics in integrated transistors. In particular, the barrier layer comprises silicon incorporating nitrogen. The nitrogen can be incorporated into an upper portion of the gate polysilicon during deposition, or a silicon layer doped with nitrogen after silicon deposition. The layer is of particular utility in conjunction with CVD tungsten silicide straps.
    Type: Application
    Filed: January 8, 2003
    Publication date: July 24, 2003
    Inventors: Nanseng Jeng, Aftab Ahmad
  • Publication number: 20030138997
    Abstract: A wafer bonding method of forming silicon-on-insulator comprising integrated circuitry includes nitridizing at least a portion of an outer surface of silicon of a device wafer. After the nitridizing, the device wafer is joined with a handle wafer. A method of forming silicon-on-insulator comprising integrated circuitry includes nitridizing an interface of the silicon comprising layer of silicon-on-insulator circuitry with the insulator layer of the silicon-on-insulator circuitry. After the nitridizing, a field effect transistor gate is formed operably proximate the silicon comprising layer. Other methods, are disclosed. Integrated circuitry is contemplated regardless of the method of fabrication.
    Type: Application
    Filed: January 10, 2003
    Publication date: July 24, 2003
    Inventor: Zhongze Wang
  • Patent number: 6589887
    Abstract: The present invention pertains to methods for forming metal-derived layers on substrates. Preferred methods apply to integrated circuit fabrication. In particular, selective methods may be used to form diffusion barriers on partially fabricated integrated circuits. In one preferred method, a wafer is heated and exposed to a metal vapor. Under specific conditions, the metal vapor reacts with dielectric surfaces to form a diffusion barrier, but does not react with metal surfaces. Thus, methods of the invention form diffusion barriers that selectively protect dielectric surfaces but leave metal surfaces free of diffusion barrier.
    Type: Grant
    Filed: October 11, 2001
    Date of Patent: July 8, 2003
    Assignee: Novellus Systems, Inc.
    Inventors: Jeremie Dalton, Ronald A. Powell, Sridhar K. Kailasam, Sasangan Ramanathan
  • Publication number: 20030119334
    Abstract: The present invention relates to a method of manufacturing a flash memory cell. The method includes forming a stack gate in which a floating gate and a control gate are stacked at a given region of a semiconductor substrate, and performing a rapid thermal nitrification process to form a nitride film at the side of the stack gate and over the semiconductor substrate. Therefore, the present invention can improve a retention characteristic and can prevent movement of threshold voltage control ions.
    Type: Application
    Filed: November 4, 2002
    Publication date: June 26, 2003
    Inventors: Noh Yeal Kwak, Sang Wook Park
  • Patent number: 6573178
    Abstract: A method for manufacturing a semiconductor device, includes forming a film on a substrate to be processed in a reaction furnace at a first temperature, unloading the substrate from the reaction furnace, and lowering a temperature in the reaction furnace to a second temperature which is lower than the first temperature, conducting a gas purge, using only an inert gas, in the reaction furnace after the substrate has been unloaded from the reaction furnace.
    Type: Grant
    Filed: April 21, 2000
    Date of Patent: June 3, 2003
    Assignee: Kokusai Electric Co., Ltd.
    Inventor: Iwao Nakamura
  • Patent number: 6573194
    Abstract: An integrated circuit having an interconnect layer (104) that comprises a first barrier layer (106) and an aluminum-based layer (108) overlying the first barrier layer (106). An aluminum-nitride layer (112) is located on the surface of the aluminum-based layer (108). AlN layer (112) is formed by converting a native aluminum-oxide layer to AlN using a plasma with H2 and N2 supplied independently rather than supplied together in the form of ammonia.
    Type: Grant
    Filed: August 2, 2001
    Date of Patent: June 3, 2003
    Assignee: Texas Instruments Incorporated
    Inventors: Keith J. Brankner, Wei-Yan Shih
  • Patent number: 6569781
    Abstract: A method for forming an oxide layer on a silicon substrate includes forming a sacrificial oxide layer on the silicon substrate, implanting nitrogen into the silicon substrate, annealing the silicon substrate having implanted nitrogen, removing the sacrificial oxide layer from the silicon substrate, and forming an oxide layer on the silicon substrate. The dose of nitrogen implanted into silicon is preferably higher than 1e14 cm31 2. The annealing process is preferably performed at temperatures in a range from about 550° C. to about 1000° C. and for a time period between about 1 second and about 2 hours.
    Type: Grant
    Filed: January 22, 2002
    Date of Patent: May 27, 2003
    Assignee: International Business Machines Corporation
    Inventors: Omer H. Dokumaci, Richard D. Kaplan, Mukesh V. Khare, Suryanarayan G. Hegde
  • Patent number: 6562730
    Abstract: A barrier layer comprising silicon mixed with an impurity is disclosed for protection of gate dielectrics in integrated transistors. In particular, the barrier layer comprises silicon incorporating nitrogen. The nitrogen can be incorporated into an upper portion of the gate polysilicon during deposition, or a silicon layer doped with nitrogen after silicon deposition. The layer is of particular utility in conjunction with CVD tungsten silicide straps.
    Type: Grant
    Filed: June 28, 2001
    Date of Patent: May 13, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Nanseng Jeng
  • Publication number: 20030080389
    Abstract: A method for manufacturing a semiconductor device includes forming a first layer adjacent a semiconductor substrate. The first layer may comprise oxygen. The first layer may be subjected to a material comprising nitrogen to form a second layer. The second layer may be oxidized to form a dielectric layer which may have a relatively uniform nitrogen profile. Rapid thermal oxidation may be used to form the dielectric layer. The dielectric layer may have a physical thickness greater than a physical thickness of the second layer.
    Type: Application
    Filed: October 31, 2001
    Publication date: May 1, 2003
    Inventors: Jerry Hu, Kwame N. Eason, Rajesh Khamankar, Mark S. Rodder, Paul E. Nicollian, Sunil Hattangady
  • Publication number: 20030073290
    Abstract: A method of nitriding a gate oxide layer by annealing a preformed oxide layer with nitric oxide (NO) gas is disclosed. The nitridation process can be carried out at lower temperatures and pressures than a conventional nitrous oxide anneal while still achieving acceptable levels of nitridation. The nitridation process can be conducted at atmospheric or sub-atmospheric pressures. As a result, the nitridation process can be used to form nitrided gate oxide layers in-situ in a CVD furnace. The nitrided gate oxide layer can optionally be reoxidized in a second oxidation step after the nitridation step. A gate electrode layer (e.g., boron doped polysilicon) can then be deposited on top of the nitrided gate oxide layer or on top of the reoxidized and nitrided gate oxide layer.
    Type: Application
    Filed: October 12, 2001
    Publication date: April 17, 2003
    Inventors: Krishnaswamy Ramkumar, Sundar Narayanan
  • Patent number: 6548422
    Abstract: A transistor gate dielectric structure includes an oxide layer formed on a substrate, a superjacent nitride layer and a transition layer interposed therebetween. The presence of the transition layer alleviates stress between the nitride and oxide layers and minimizes any charge trapping sites between the nitride and oxide layers. The transition layer includes both nitrogen and oxygen as components. The method for forming the structure includes forming the transition layer using a remote nitridation reactor at a sufficiently low temperature such that virtually no nitrogen reaches the interface formed between the oxide layer and the substrate. The oxide layer/substrate interface is relatively pristine and defect-free. In an exemplary embodiment, the oxide layer may be a graded structure formed using two distinct processing operations, a first operation at a relatively low temperature and a final operation at a temperature above the viscoelastic temperature of the oxide film.
    Type: Grant
    Filed: September 27, 2001
    Date of Patent: April 15, 2003
    Assignee: Agere Systems, Inc.
    Inventors: Pradip K. Roy, David C. Brady, Carlos M. Chacon
  • Patent number: 6541395
    Abstract: In accordance with an aspect of the invention, a semiconductor processing method of forming field effect transistors includes forming a first gate dielectric layer over a first area configured for forming p-type field effect transistors and a second area configured for forming n-type field effect transistors, both areas on a semiconductor substrate. The first gate dielectric layer is silicon dioxide having a nitrogen concentration of 0.1% molar to 10.0% molar within the first gate dielectric layer, the nitrogen atoms being higher in concentration within the first gate dielectric layer at one elevational location as compared to another elevational location. The first gate dielectric layer is removed from over the second area while leaving the first gate dielectric layer over the first area, and a second gate dielectric layer is formed over the second area. The second gate dielectric layer is a silicon dioxide material substantially void of nitrogen atoms.
    Type: Grant
    Filed: July 13, 2000
    Date of Patent: April 1, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Jigish D. Trivedi, Zhongze Wang, Rongsheng Yang
  • Publication number: 20030060058
    Abstract: A transistor gate dielectric structure includes an oxide layer formed on a substrate, a superjacent nitride layer and a transition layer interposed therebetween. The presence of the transition layer alleviates stress between the nitride and oxide layers and minimizes any charge trapping sites between the nitride and oxide layers. The transition layer includes both nitrogen and oxygen as components. The method for forming the structure includes forming the transition layer using a remote nitridation reactor at a sufficiently low temperature such that virtually no nitrogen reaches the interface formed between the oxide layer and the substrate. The oxide layer/substrate interface is relatively pristine and defect-free. In an exemplary embodiment, the oxide layer may be a graded structure formed using two distinct processing operations, a first operation at a relatively low temperature and a final operation at a temperature above the viscoelastic temperature of the oxide film.
    Type: Application
    Filed: September 27, 2001
    Publication date: March 27, 2003
    Inventors: Pradip K. Roy, David C. Brady, Carlos M. Chacon
  • Publication number: 20030060059
    Abstract: A versatile system for forming diffusion barriers in semiconductor processing that simplifies device processing, utilizing existing production compounds and materials while resulting in uniform and proper device structuring, is disclosed, providing a system using a reactive plasma to selectively form diffusion barriers and provide selective oxidation.
    Type: Application
    Filed: September 24, 2002
    Publication date: March 27, 2003
    Inventor: Scott R. Summerfelt
  • Publication number: 20030052377
    Abstract: Methods for forming a nitride barrier film layer in semiconductor devices such as gate structures, and barrier layers, semiconductor devices and gate electrodes are provided. The nitride layer is particularly useful as a barrier to boron diffusion into an oxide film. The nitride barrier layer is formed by selectively depositing silicon onto an oxide substrate as a thin layer, and then thermally annealing the silicon layer in a nitrogen-containing species or exposing the silicon to a plasma source of nitrogen to nitridize the silicon layer.
    Type: Application
    Filed: September 6, 2002
    Publication date: March 20, 2003
    Applicant: Micron Technology Inc.
    Inventor: Ronald A. Weimer
  • Patent number: 6534388
    Abstract: A process used to retard out diffusion of P type dopants from P type LDD regions, resulting in unwanted LDD series resistance increases, has been developed. The process features the formation of a nitrogen containing layer, placed between the P type LDD region and overlying silicon oxide regions, retarding the diffusion of boron from the LDD regions to the overlying silicon oxide regions, during subsequent high temperature anneals. The nitrogen containing layer, such as a thin silicon nitride layer, or a silicon oxynitride layer, formed during or after reoxidation of a P type polysilicon gate structure, is also formed in a region that also retards the out diffusion of P type dopants from the P type polysilicon gate structure.
    Type: Grant
    Filed: September 27, 2000
    Date of Patent: March 18, 2003
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Wenhe Lin, Zhong Dong, Simon Chooi, Kin Leong Pey
  • Patent number: 6534363
    Abstract: A method for forming a high voltage gate oxide having a high quality and reliability for use with non-volatile memory devices is provided. Field oxide isolation regions are formed in the top surface of a semiconductor substrate so as to define a first active region, a second active region, and a third active region. A sacrificial oxide layer is formed on the top surface of the semiconductor layer and overlying the first through third active regions. The sacrificial oxide layer is removed from only the first active region. A tunnel oxide layer is formed over the first active region and over the sacrificial oxide layer overlying the second active region and the third active region. A floating gate structure is formed in the first active region. The tunnel oxide layer and the sacrificial oxide layer over the respective second active region and third active region are removed subsequent to forming the floating gate structure.
    Type: Grant
    Filed: March 12, 2001
    Date of Patent: March 18, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Hyeon-Seag Kim
  • Publication number: 20030049941
    Abstract: An embodiment of the present invention teaches a capacitor dielectric in a wafer cluster tool for semiconductor device fabrication formed by a method by the steps of: forming nitride adjacent a layer by rapid thermal nitridation; and subjecting the nitride to an ozone ambient, wherein the ozone lo ambient is selected from the group consisting of an ambient containing an ultraviolet/ozone mixture, an ambient containing an ozone or an ambient containing an NF3/ozone mixture.
    Type: Application
    Filed: August 14, 2002
    Publication date: March 13, 2003
    Inventors: Randhir P. S. Thakur, Brett Rolfson
  • Patent number: 6531364
    Abstract: A method is presented for forming a transistor wherein polysilicon is preferably deposited upon a dielectric-covered substrate to form a sacrificial polysilicon layer. The sacrificial polysilicon layer may then be reduced to a desired thickness. Thickness reduction of the sacrificial polysilicon layer is preferably undertaken by oxidizing a portion of the sacrificial polysilicon layer and then etching the oxidized portion. As an option, the sacrificial polysilicon layer may be heated such that it is recrystallized. The sacrificial polysilicon layer is preferably annealed in a nitrogen-bearing ambient such that it is converted to a gate dielectric layer that includes nitride. Polysilicon may be deposited upon the gate dielectric layer, and select portions of the polysilicon may be removed to form a gate conductor. LDD and source/drain areas may be formed adjacent to the gate conductor.
    Type: Grant
    Filed: August 5, 1998
    Date of Patent: March 11, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, H. Jim Fulford, Jr., Charles E. May
  • Publication number: 20030045125
    Abstract: Within a method for forming a nitrogenated silicon carbide layer there is treated a non-nitrogenated silicon carbide layer with a nitrogen containing plasma. By treating the non-nitrogenated silicon carbide layer with the nitrogen containing plasma, there may be avoided nitrogen containing plasma induced damage to a substrate layer, and in particular a low dielectric constant dielectric material substrate layer, upon which is formed the nitrogenated silicon carbide layer.
    Type: Application
    Filed: September 5, 2001
    Publication date: March 6, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tien-I Bao, Lain-Jong Li, Syun-Ming Jang
  • Patent number: 6528434
    Abstract: The present invention provides a method of forming different thickness” of a gate oxide layer simultaneously, by employing a pulse Nitrogen plasma implantation. The method provides a semiconductor substrate with the surface of the silicon in the semiconductor substrate separated into a first region and a second region at least. Then a thin surface on the surface of the silicon of the first region is implanted using a first predetermined concentration of the Nitrogen ions. The thin surface on the surface of the silicon in the second region is implanted using a second predetermined concentration of the Nitrogen ions. An oxidation process is subsequently performed. The first predetermined thickness and the second predetermined thickness of the silicon oxide layer are formed simultaneously on the surface of the silicon in the first region and in the second region. The Nitrogen ions are implanted in the surface of the silicon by forming the pulse nitrogen plasma in-situ.
    Type: Grant
    Filed: October 22, 2001
    Date of Patent: March 4, 2003
    Assignee: Macronix International Co. Ltd.
    Inventor: Wei-Wen Chen
  • Patent number: 6528396
    Abstract: The present invention provides an improved surface P-channel transistor and a method of making the same. A preferred embodiment of the method of the present invention includes providing a semiconductor substrate, forming a gate oxide layer over the semiconductor substrate, subjecting the gate oxide layer to a remote plasma nitrogen hardening treatment followed by an oxidative anneal, and forming a polysilicon layer over the resulting gate oxide layer. Significantly, the method of the present invention does not require nitrogen implantation through the polysilicon layer overlying the gate oxide and provides a surface P-channel transistor having a polysilicon electrode free of nitrogen and a hardened gate oxide layer characterized by a large concentration of nitrogen at the polysilicon electrode/gate oxide interface and a small concentration of nitrogen at the gate oxide/semiconductor substrate interface.
    Type: Grant
    Filed: January 15, 2002
    Date of Patent: March 4, 2003
    Assignee: Micron Technology, Inc.
    Inventor: John T. Moore
  • Patent number: 6518203
    Abstract: The present invention describes a method of processing a substrate. According to the present invention a dielectric layer is formed on the substrate. The dielectric layer is then exposed in a first chamber to activated nitrogen atoms formed in a second chamber to form a nitrogen passivated dielectric layer. A metal nitride film is then formed on the nitrogen passivated dielectric layer.
    Type: Grant
    Filed: October 18, 2001
    Date of Patent: February 11, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Pravin Narwankar, Turgut Sahin
  • Patent number: 6503846
    Abstract: An embodiment of the present invention is a method of forming an ultra-thin dielectric layer, the method comprising the steps of: providing a substrate having a semiconductor surface; forming an oxygen-containing layer on the semiconductor surface; exposing the oxygen-containing layer to a nitrogen-containing plasma to create a uniform nitrogen distribution throughout the oxygen-containing layer; and re-oxidizing and annealing the layer to stabilize the nitrogen distribution, heal plasma-induced damage, and reduce interfacial defect density. This annealing step is selected from a group of four re-oxidizing techniques: Consecutive annealing in a mixture of H2 and N2 (preferably less than 20% H2), and then a mixture of O2 and N2 (preferably less than 20% O2); annealing by a spike-like temperature rise (preferably less than 1 s at 1000 to 1150° C.
    Type: Grant
    Filed: June 20, 2001
    Date of Patent: January 7, 2003
    Assignee: Texas Instruments Incorporated
    Inventors: Hiroaki Niimi, James J. Chambers, Rajesh Khamankar, Douglas T. Grider
  • Publication number: 20020197882
    Abstract: An embodiment of the present invention is a method of forming an ultra-thin dielectric layer, the method comprising the steps of: providing a substrate having a semiconductor surface; forming an oxygen-containing layer on the semiconductor surface; exposing the oxygen-containing layer to a nitrogen-containing plasma to create a uniform nitrogen distribution throughout the oxygen-containing layer; and re-oxidizing and annealing the layer to stabilize the nitrogen distribution, heal plasma-induced damage, and reduce interfacial defect density.
    Type: Application
    Filed: June 20, 2001
    Publication date: December 26, 2002
    Inventors: Hiroaki Niimi, James J. Chambers, Rajesh Khamankar, Douglas T. Grider
  • Publication number: 20020197884
    Abstract: An embodiment of the present invention is a method of forming an ultra-thin dielectric layer, the method comprising the steps of: providing a substrate having a semiconductor surface; forming an oxygen-containing layer on the semiconductor surface; exposing the oxygen-containing layer to a nitrogen-containing plasma to create a uniform nitrogen distribution throughout the oxygen-containing layer; and re-oxidizing and annealing the layer to stabilize the nitrogen distribution, heal plasma-induced damage, and reduce interfacial defect density.
    Type: Application
    Filed: June 20, 2001
    Publication date: December 26, 2002
    Inventors: Hiroaki Niimi, Douglas T. Grider, Rajesh Khamankar
  • Publication number: 20020197886
    Abstract: An embodiment of the present invention is a method of forming an ultra-thin dielectric layer, the method comprising the steps of: providing a substrate having a semiconductor surface; forming an oxygen-containing layer on the semiconductor surface; exposing the oxygen-containing layer to a nitrogen-containing plasma to create a uniform nitrogen distribution throughout the oxygen-containing layer; and re-oxidizing and annealing the layer to stabilize the nitrogen distribution, heal plasma-induced damage, and reduce interfacial defect density.
    Type: Application
    Filed: June 20, 2001
    Publication date: December 26, 2002
    Inventors: Hiroaki Niimi, Rajesh Khamankar, James J. Chambers, Sunil Hattangady, Antonio L.P. Rotondaro
  • Publication number: 20020197883
    Abstract: An embodiment of the present invention is a method of forming an ultra-thin dielectric layer, the method comprising the steps of: providing a substrate having a semiconductor surface; forming an oxygen-containing layer on the semiconductor surface; exposing the oxygen-containing layer to a nitrogen-containing plasma to create a uniform nitrogen distribution throughout the oxygen-containing layer; and re-oxidizing and annealing the layer to stabilize the nitrogen distribution, heal plasma-induced damage, and reduce interfacial defect density.
    Type: Application
    Filed: June 20, 2001
    Publication date: December 26, 2002
    Inventors: Hiroaki Niimi, Douglas T. Grider, Rajesh Khamankar, Sunil Hattangady
  • Patent number: 6495476
    Abstract: A method for forming a layer of silicon nitride that includes providing at least one silicon wafer in a first chamber with ammonia gas, wherein the first chamber is substantially enclosed, and the at least one silicon wafer reacts with the ammonia gas to form a first layer of silicon nitride on the at least one silicon wafer, providing a second chamber with the ammonia gas, moving the at least one silicon wafer into the second chamber, and forming a second layer of silicon nitride on the silicon wafer.
    Type: Grant
    Filed: August 22, 2000
    Date of Patent: December 17, 2002
    Assignee: ProMOS Technologies, Inc.
    Inventors: Cheng-Che Lee, Chung-Chih Liu
  • Patent number: 6495477
    Abstract: A surface treatment method for forming a fluorine-doped nitridized interface on a semiconductor substrate. The fluorine-doped nitridized interface may be formed using an ammonia plasma CVD process having a treatment gas doped with a fluorine component, such as carbon hexafluorine. The method may be employed as part of a LOCOS-based processing scheme in the manufacture of MOS semiconductor devices, such as DRAM devices.
    Type: Grant
    Filed: May 21, 2001
    Date of Patent: December 17, 2002
    Assignee: Samsung Austin Semiconductor, LLC
    Inventors: Jonathan J. Taylor, David F. Jendresky
  • Publication number: 20020182839
    Abstract: A method for fabricating a Group III nitride semiconductor substrate according to the present invention includes the steps of: (a) preparing a substrate; (b) forming, on the substrate, a first semiconductor layer composed of a Group III nitride semiconductor; (c) forming, on the first semiconductor layer, a heat diffusion suppressing layer lower in thermal conductivity than the first semiconductor layer; (d) forming, on the heat diffusion suppressing layer, a second semiconductor layer composed of a Group III nitride semiconductor; and (e) irradiating the first semiconductor layer through the substrate with a light beam transmitted by the substrate and absorbed by the first semiconductor layer to decompose the first semiconductor layer.
    Type: Application
    Filed: April 10, 2002
    Publication date: December 5, 2002
    Applicant: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.
    Inventors: Masahiro Ogawa, Masahiro Ishida, Satoshi Tamura, Shinichi Takigawa
  • Publication number: 20020182888
    Abstract: An apparatus and method of forming an oxynitride insulating layer on a substrate performed by putting the substrate at a first temperature within the main chamber of a furnace, exposing the substrate to a nitrogen containing gas at a second temperature which is higher than the first temperature, and growing the oxynitride layer on the substrate within the main chamber in the presence of post-combusted gases. The higher temperature nitrogen containing gases are combusted in a chamber outside the main chamber. The higher temperature is in the range of 800 to 1200° C., and preferably 950° C. In a second embodiment, distributed N2O gas injectors within the main chamber deliver the nitrogen containing gas. The nitrogen containing gas is pre-heated outside the chamber. The nitrogen containing gas is then delivered to a gas manifold that splits the gas flow and directs the gas to a number of gas injectors, preferably two to four injectors within the main process tube.
    Type: Application
    Filed: July 19, 2002
    Publication date: December 5, 2002
    Applicant: International Business Machines Corporation
    Inventors: Douglas A. Buchanan, Evgeni P. Gousev, Carol J. Heenan, Wade J. Hodge, Steven M. Shank, Patrick R. Varekamp
  • Publication number: 20020173166
    Abstract: An in-process microelectronic device may be treated by providing a process chamber with an in-process microelectronic device therein, providing an ozone generator and an ozone storage reservoir, the ozone storage reservoir in fluid communication with the ozone generator and the process chamber, generating ozone with the ozone generator for a first period of time and delivering the ozone to the ozone storage reservoir; and subsequently providing ozone from the ozone storage reservoir and the generator to the process chamber during a second period of time different from the first period of time and exposing the in-process microelectronic device thereto.
    Type: Application
    Filed: April 11, 2001
    Publication date: November 21, 2002
    Inventors: Kurt Christenson, Steven L. Nelson
  • Patent number: 6475862
    Abstract: Field effect transistors of an integrated circuit are fabricated on a silicon substrate, and require gate insulating layers appropriate for the purpose of individual component circuits, the active areas assigned the field effect transistors are sequentially exposed to source gas varied in the ratio between oxygen and nitrogen for growing silicon oxide and/or silicon oxynitride thereon, and the nitrogen serves as a diffusion inhibitor against the oxygen so as to form the gate insulating layers different in thickness of the order of several angstroms.
    Type: Grant
    Filed: August 10, 2000
    Date of Patent: November 5, 2002
    Assignee: NEC Corporation
    Inventor: Koichi Ando
  • Publication number: 20020160623
    Abstract: In this disclosure, we present processes of growing SiO2 films over silicon at temperatures as low as room temperature and at pressures as high as 1 atmosphere. The lower temperature oxidation was made possible by creation of oxygen atoms and radicals by adding noble gas(es) along with oxidizing gas(es) and applying RF power to create plasma. It was also possible to fabricate silicon nitride films by flowing nitrogen containing gas(es) with noble gas(es) and applying RF power to create plasma at pressures as high as one atmosphere. In addition, the above processes could also be carried out using microwave power instead of RF power to create plasma.
    Type: Application
    Filed: August 27, 2001
    Publication date: October 31, 2002
    Inventor: Ramesh H. Kakkad
  • Patent number: 6468926
    Abstract: A manufacture method for a semiconductor device includes the steps of: (a) transporting a silicon wafer into a reaction chamber having first and second gas introducing inlet ports; (b) introducing an oxidizing atmosphere via the first gas introducing inlet port and raising the temperature of the silicon wafer to an oxidation temperature; (c) introducing a wet oxidizing atmosphere to form a thermal oxide film on the surface of the silicon wafer; (d) purging gas in the reaction chamber by using inert gas to lower a residual water concentration to about 1000 ppm or lower; and (e) introducing an NO or N2O containing atmosphere into the reaction chamber via the second gas introducing inlet port while the silicon wafer is maintained above 700° C. and above the oxidation temperature, to introduce nitrogen into the thermal oxide film and form an oxynitride film. A thin oxynitride film can be manufactured with good mass productivity.
    Type: Grant
    Filed: June 29, 1999
    Date of Patent: October 22, 2002
    Assignee: Fujitsu Limited
    Inventors: Kiyoshi Irino, Ken-ichi Hikazutani, Tatsuya Kawamura, Taro Sugizaki, Satoshi Ohkubo, Toshiro Nakanishi, Kanetake Takasaki
  • Publication number: 20020137362
    Abstract: In accordance with the present invention, a method for forming a crystalline silicon nitride layer, includes the steps of providing a crystalline silicon substrate with an exposed surface, precleaning the exposed surface by employing a hydrogen prebake and exposing the exposed surface to nitrogen to form a crystalline silicon nitride layer. Also, a trench capacitor, in accordance with the present invention, includes a crystalline silicon substrate including deep trenches having surface substantially free of native oxide. A dielectric stack, including a crystalline silicon nitride layer, is formed on the sidewalls of the trenches. The dielectric stack forms a node dielectric between electrodes of the trench capacitor.
    Type: Application
    Filed: July 29, 1999
    Publication date: September 26, 2002
    Inventors: RAJARAO JAMMY, PHILIP L. FLAITZ, PHILIP E. BATSON, HUA SHEN, YUN YU WANG
  • Publication number: 20020132474
    Abstract: A method for forming conductive contacts and interconnects in a semiconductor structure, and the resulting conductive components are provided. In particular, the method is used to fabricate single or dual damascene copper contacts and interconnects in integrated circuits such as memory devices and microprocessor.
    Type: Application
    Filed: March 15, 2001
    Publication date: September 19, 2002
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 6451662
    Abstract: An improved capacitor is formed by a process where an improved node dielectric layer is formed with an improved dielectric constant by performing an Free Radical Enhanced Rapid Thermal Oxidation (FRE RTO) step during formation of the node dielectric layer. Use of an FRE RTO step instead of the conventional furnace oxidation step produces a cleaner oxide with a higher dielectric constant and higher capacitance. Other specific embodiments of the invention include improved node dielectric layer by one or more additional nitridation steps, done by either Remote Plasma Nitridation (RPN), Rapid Thermal Nitridation (RTN), Decoupled Plasma Nitridation (DPN) or other nitridation method; selective oxidation; use of a metal layer rather than a SiN layer as the dielectric base; and selective oxidation of the metal layer.
    Type: Grant
    Filed: October 4, 2001
    Date of Patent: September 17, 2002
    Assignee: International Business Machines Corporation
    Inventors: Michael Chudzik, Oleg Gluschenkov, Raj Jammy, Uwe Schroeder, Helmut Tews
  • Patent number: 6448189
    Abstract: In the manufacture of a semiconductor memory device having a capacitor formed by arranging a dielectric film including two layers of a silicon oxide film and a silicon nitride film between two electrode films, a thin dielectric film is formed by forming the silicon nitride film on a silicon conductive film by thermally nitriding said silicon conductive film using NO gas, then laminating a silicon oxide film on said silicon nitride film by a CVD method. The erasing/writing speed of semiconductor memory devices, in particular of flash memories or the like, is improved.
    Type: Grant
    Filed: January 31, 2002
    Date of Patent: September 10, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Tatsunori Kaneoka
  • Publication number: 20020123239
    Abstract: Semiconductor nitride layers are produced using a corona discharge supersonic free-jet source producing an activated nitrogen molecule beam impacting a semiconductor substrate in the presence of a group III metal or impacting an oxide layer on a semiconductor substrate. The activated nitrogen molecules are of the form N2A3&Sgr;u+. Apparatus for producing the nitride layer on the substrate includes the corona discharge free-jet source, a skimmer to collimate the N2 beam and succeeding stages interconnected by collimators and evacuated to draw off background gases.
    Type: Application
    Filed: June 22, 2001
    Publication date: September 5, 2002
    Inventors: R. Bruce Doak, Christopher T. Burns, Dirk C. Jordan
  • Patent number: 6444593
    Abstract: A method for using low dielectric SiOF in a process to manufacture semiconductor products, comprising the steps of obtaining a layer of SiOF, and depleting fluorine from a surface of the SiOF layer. In a preferred embodiment, the depleting step comprises the step of treating the surface of the layer of SiOF with a plasma containing ammonia. It is further preferred that the treated surface be passivated by a nitrite plasma. The invention also encompasses a semiconductor chip comprising an integrated circuit with at least a first and second layers, and with a dielective layer of SiOF disposed between the layers, wherein the SiOF dielectric layer includes a first region at one edge thereof which depleted of fluorine to a predetermined depth.
    Type: Grant
    Filed: August 12, 1999
    Date of Patent: September 3, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Richard J. Huang, Guarionex Morales
  • Patent number: 6440829
    Abstract: A method and structure providing N-profile engineering at the poly/gate oxide and gate oxide/Si interfaces of a layered polysilicon/amorphous silicon structure of a semiconductor device. NH3 annealing provides for the introduction of nitrogen to the interface, where the nitrogen suppresses Boron diffusion, improves gate oxide integrity, and reduces the sites available for trapping hot carriers which degrade device performance.
    Type: Grant
    Filed: December 30, 1998
    Date of Patent: August 27, 2002
    Assignee: Agere Systems Guardian Corp.
    Inventors: Pradip K. Roy, Yi Ma, Michael A. Laughery
  • Patent number: 6436848
    Abstract: A nitrogen-rich silicon oxide layer is formed using an apparatus for oxidizing semiconductor substrates having a process zone or chamber fluidically coupled to a torch zone or chamber. Generally, a thin initial silicon oxide layer is formed on the substrate using common wet or dry oxidizing processing conditions. Subsequently, a nitridizing atmosphere is introduced to the semiconductor substrates causing a nitrogen-rich silicon oxide layer to be formed thereon. The nitridizing atmosphere is advantageously generated by an exothermic reaction within the torch zone. Once formed, the nitridizing atmosphere is directed to the process zone through the fluidic coupling. The advantageous exothermic reaction resulting from the introduction of nitrous oxide (N2O) to the torch zone at a temperature sufficiently high to induce such an exothermic reaction, generally between approximately 850 to 950 degrees Celsius. Semiconductor integrated circuits are formed using nitrogen-rich silicon oxide films of the current method.
    Type: Grant
    Filed: March 30, 1999
    Date of Patent: August 20, 2002
    Assignee: Cypress Semiconductor Corp.
    Inventor: Krishnaswamy Ramkumar
  • Patent number: 6436847
    Abstract: A first electrode and a doped oxide layer laterally proximate thereof are provided over a substrate. A silicon nitride layer is formed over both the doped oxide layer and the first electrode to a thickness of no greater than 80 Angstroms over at least the first electrode by low pressure chemical vapor deposition at a pressure of at least 1 Torr, a temperature of less than 700° C. and using feed gases comprising a silicon hydride and ammonia. The substrate with silicon nitride layer is exposed to oxidizing conditions comprising at least 700° C. to form a silicon dioxide layer over the silicon nitride layer, with the thickness of silicon nitride over the doped oxide layer being sufficient to shield oxidizable substrate material beneath the doped oxide layer from oxidizing during the exposing. A second electrode is formed over the silicon dioxide layer and the first electrode. In another implementation, a layer comprising undoped oxide is formed over a doped oxide layer.
    Type: Grant
    Filed: September 29, 2000
    Date of Patent: August 20, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Randhir P. S. Thakur