For Printed Or Integrated Electrical Circuit, Or Semiconductor Device Patents (Class 510/175)
  • Publication number: 20130261040
    Abstract: A cleaning agent for a substrate having a copper wiring consisting of an aqueous solution comprising [I] an amino acid represented by the following general formula [1], and [II] an alkylhydroxylamine; and a method for cleaning a semiconductor substrate having a copper wiring characterized by using the relevant cleaning agent for a substrate having a copper wiring; (wherein R1 represents a hydrogen atom, a carboxymethyl group or a carboxyethyl group; and R2 and R3 each independently represents a hydrogen atom or an alkyl group having 1 to 4 carbon atoms, which may have a hydroxyl group, provided that those where R1 to R3 are all hydrogen atoms are excluded.).
    Type: Application
    Filed: November 28, 2011
    Publication date: October 3, 2013
    Applicant: WAKO PURE CHEMICAL INDUSTRIES, LTD.
    Inventors: Hiromi Kawada, Satoshi Shirahata, Hironori Mizuta, Masahiko Kakizawa, Kazuo Shiraki
  • Publication number: 20130261039
    Abstract: A method of removing a residue from a surface, including applying to the surface a composition including (a) a quaternary ammonium hydroxide having a general formula (I): as defined herein, and (b) a dipolar aprotic solvent substantially free of water; and removing at least a substantial portion of the residue from the surface.
    Type: Application
    Filed: March 27, 2012
    Publication date: October 3, 2013
    Applicant: SACHEM, INC.
    Inventor: Charles B. Little
  • Patent number: 8545640
    Abstract: In a substrate processing method according to the present invention, a cleaning liquid nozzle supplies a rinsing liquid to a central portion of a substrate and thereafter moves from a position corresponding to the central portion of the substrate to a position corresponding to a peripheral, edge portion thereof while supplying the rinsing liquid before stopping at the position corresponding to the peripheral edge portion. Next, a drying liquid nozzle moves from the position corresponding to the peripheral edge portion to the position corresponding to the central portion while supplying a drying liquid. Then, the drying liquid nozzle is kept stationary at the position corresponding to the central portion for a predetermined period of time while supplying the drying liquid. Thereafter, a gas nozzle moves from the position corresponding to the central portion to the position corresponding to the peripheral edge portion while supplying an inert gas.
    Type: Grant
    Filed: June 16, 2011
    Date of Patent: October 1, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Teruomi Minami, Naoyuki Okamura, Yosuke Kawabuchi
  • Publication number: 20130237469
    Abstract: Al post-etch residue removal composition doped with an alkanoic acid of the formula R—COOH, where R can be a linear or branched alkyl group in the form of CnH2n+1, where n is from 4 to 19, simultaneously passivates exposed Al surfaces while removing post-etch residues.
    Type: Application
    Filed: March 11, 2013
    Publication date: September 12, 2013
    Applicant: EKC Technology, Inc.
    Inventor: Chia-Yin Joyce Wei
  • Patent number: 8530402
    Abstract: A cleaning apparatus comprises a container configured to hold an article to be cleaned, a cleaning solvent dispenser configured to supply a cleaning solvent to the container, an energy generator configured to provide thermal energy to an interior of the container; and a control device in communication with the energy generator and configured to select thermal energy sufficient to sublimate the particles. The cleaning solvent comprises a solvent and nanofabricated particles dispersed therein. The control device controls the energy generator to provide thermal energy to the cleaning solvent in container in which the article is submerged in order to cause sublimation of the particles.
    Type: Grant
    Filed: April 12, 2012
    Date of Patent: September 10, 2013
    Assignee: Empire Technology Development LLC
    Inventor: Takahisa Kusuura
  • Publication number: 20130231271
    Abstract: Provided are a photoresist residue and polymer residue removing liquid composition, and a method of removing the residue used therewith, for removing photoresist residue and polymer residue produced during a process of manufacturing a semiconductor circuit element having metallic wiring. Specifically, the composition does not contain nitrogen-containing organic hydroxyl compounds, ammonia or fluorine compounds, and contains an aliphatic polycarboxylic acid having a melting point of 25° C. or higher with an excellent residue removing property and having a metallic oxide main component as the residue removing component. The photoresist residue and polymer residue removing liquid composition, and the method of removing the residue used therewith, is capable of preventing the aliphatic polycarboxylic acid from being recrystallized by evaporation of water after a solution has adhered around a cleaning device liquid ejecting nozzle or a cleaning tank and a chamber.
    Type: Application
    Filed: September 2, 2011
    Publication date: September 5, 2013
    Applicant: Kanto Kagaku Kabushiki Kaisha
    Inventor: Takuo Ohwada
  • Publication number: 20130225464
    Abstract: The invention relates to a cleaning liquid for semiconductor device substrates, which is for use in a step of cleaning a semiconductor device substrate to be conducted after a chemical mechanical polishing step in semiconductor device production, the cleaning liquid including the following components (A) to (D): (A) an organic acid; (B) a sulfonic acid type anionic surfactant; (C) at least one polymeric coagulant selected from polyvinylpyrrolidone and polyethylene oxide-polypropylene oxide block copolymers; and (D) water.
    Type: Application
    Filed: April 1, 2013
    Publication date: August 29, 2013
    Applicant: MITSUBISHI CHEMICAL CORPORATION
    Inventor: MITSUBISHI CHEMICAL CORPORATION
  • Patent number: 8518865
    Abstract: The present invention relates to water-rich formulations and the method using same, to remove bulk photoresists, post-etched and post-ashed residues, residues from Al back-end-of-the-line interconnect structures, as well as contaminations. The formulation comprises: hydroxylamine; corrosion inhibitor containing a mixture of alkyl dihydroxybenzene and hydroxyquinoline; an alkanolamine, a water-soluble solvent or the combination of the two; and at least 50% by weight of water.
    Type: Grant
    Filed: August 19, 2010
    Date of Patent: August 27, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Madhukar Bhaskara Rao, Gautam Banerjee, Thomas Michael Wieder, Yi-Chia Lee, Wen Dar Liu, Aiping Wu
  • Publication number: 20130217234
    Abstract: A cleaning solution is provided. The cleaning solution includes an aliphatic polycarboxylic acid, a chain sulfonic acid substantially less than 4 wt % and an amine containing buffer agent.
    Type: Application
    Filed: March 21, 2013
    Publication date: August 22, 2013
    Applicant: UNITED MICROELECTRONICS CORPORATION
    Inventor: UNITED MICROELECTRONICS CORPORATION
  • Patent number: 8512481
    Abstract: Low-VOC cleaning compositions effective in removing stubborn UV inks from printing-press components include at least one non-ionic surfactant selected from the group consisting of a sorbitan ester, an ethoxylated sorbitan ester, an ethoxylated castor oil, polyethylene glycol ester and an alcohol ethoxylate; and at least one carrier comprising or consisting essentially of at least one of (i) an organic solvent miscible therewith or (ii) D-limonene. The cleaning composition has a VOC limit less than 100 g/L.
    Type: Grant
    Filed: October 22, 2010
    Date of Patent: August 20, 2013
    Assignee: Presstek, Inc.
    Inventors: Sonia Rondon, Kevin Ray
  • Patent number: 8506723
    Abstract: An alkaline detergent composition for hard surface comprises an alkaline agent (component A), a nonionic surfactant (component B), a chelating agent (component C), water (component D), at least one carboxylic acid compound (component E) selected from the group consisting of compounds represented by general formula (1) and general formula (2), and at least one anionic surfactant (component F) selected from the group consisting of surfactants represented by general formula (3) and salts thereof. Therein, the content ratio [component E (weight %)/component B (weight %)] is 1/1.5-15/1, the content ratio [component F (weight %)/component B (weight %)] is 10/1-1/5, and the pH at 25° C. is 12 or greater.
    Type: Grant
    Filed: December 27, 2010
    Date of Patent: August 13, 2013
    Assignee: KAO Corporation
    Inventors: Atsushi Tamura, Sadaharu Miyamoto
  • Publication number: 20130203643
    Abstract: A cleaning agent for a microelectronic device provided with metal wiring, which has an excellent ability to remove polishing particle residues derived from a polishing agent and an excellent ability to remove metallic residues on an insulating film, and has excellent anticorrosiveness to the metal wiring. The cleaning agent is used at a step subsequent to chemical mechanical polishing in a manufacturing process of a microelectronic device in which a metal wiring, e.g., copper or tungsten, is formed.
    Type: Application
    Filed: January 28, 2011
    Publication date: August 8, 2013
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: Mutsumi Nakanishi, Hiroshi Yoshimochi, Yukichi Koji
  • Patent number: 8496757
    Abstract: A cleaning solution and method for removing submicron particles from the surface and/or the bevel of an electronic substrate such as a semiconductor wafer. The cleaning solution comprises a polycarboxylate polymer or an ethoxylated polyamine. The method comprises the step of contacting a surface of the substrate with a cleaning solution comprised of a polycarboxylate polymer or an ethoxylated polyamine. Additional optional steps in the method include applying acoustic energy to the cleaning solution and/or rinsing the surface with a rinsing solution with or without the application of acoustic energy to the rinsing solution.
    Type: Grant
    Filed: July 22, 2012
    Date of Patent: July 30, 2013
    Assignee: Fontana Technology
    Inventor: Mark Jonathan Beck
  • Patent number: 8497209
    Abstract: The present invention provides a chemical-mechanical polishing (CMP) composition comprising an amino compound, a radical-forming oxidizing agent, a radical trapping agent capable of inhibiting radical-induced oxidation of the amino compound, and an aqueous carrier therefore. The radical trapping agent is a hydroxyl-substituted polyunsaturated cyclic compound, a nitrogenous compound, or a combination thereof. Optionally, the composition comprises a metal oxide abrasive (e.g., silica, alumina, titania, ceria, zirconia, or a combination of two or more of the foregoing abrasives).
    Type: Grant
    Filed: April 21, 2010
    Date of Patent: July 30, 2013
    Assignee: Cabot Microelectronics Corporation
    Inventors: Steven K. Grumbine, Renjie Zhou, Zhan Chen, Phillip W. Carter
  • Patent number: 8497233
    Abstract: A composition for removal of high dosage ion implanted photoresist from the surface of a semiconductor device, the composition having at least one solvent having a flash point >65° C., at least one component providing a nitronium ion, and at least one phosphonic acid corrosion inhibitor compound, and use of such a composition to remove high dosage ion implanted photoresist from the surface of a semiconductor device.
    Type: Grant
    Filed: February 18, 2010
    Date of Patent: July 30, 2013
    Assignee: Avantor Performance Materials, Inc.
    Inventor: Glenn Westwood
  • Publication number: 20130186764
    Abstract: An aqueous treatment solution for increasing the cleaning capability of a treated copper surface comprising: a) an organic compound selected from the group consisting of organic acids, alcohols, ketone, nitriles and combinations of one or more of the foregoing; and b) an oxidizing agent. The aqueous treatment solution is usable in a process for metallizing the walls of holes within a printed wiring board substrate having metallic and non-metallic regions, wherein the printed wiring board is treated with a reducing agent and then contacted with an aqueous dispersion of carbonaceous particles to term a coating of the dispersion over the substrate. The process comprises the step of contacting the metallic regions of the printed wiring board substrate with the aqueous treatment solution to remove deposited carbonaceous particles therefrom. The aqueous treatment solution provides a clean copper surface while providing a low microetch rate.
    Type: Application
    Filed: January 19, 2012
    Publication date: July 25, 2013
    Inventors: Kesheng Feng, Jun Nable, Adam McCaherty
  • Publication number: 20130181159
    Abstract: A surface treatment composition of the present invention contains a first surfactant, a second surfactant, a basic compound, and water. The surface treatment composition has a pH of 8 or more. The second surfactant has a weight-average molecular weight one-half or less that of the first surfactant. The sum of the content of the first surfactant and the content of the second surfactant is 0.00001 to 0.1% by mass.
    Type: Application
    Filed: September 23, 2011
    Publication date: July 18, 2013
    Inventors: Kohsuke Tsuchiya, Hitoshi Morinaga, Noboru Yasufuku, Shuhei Takahashi, Tomohiro Imao
  • Patent number: 8486837
    Abstract: A polishing slurry for metal comprises an oxidizer, a metal oxide dissolving agent, a metal inhibitor, and water, wherein the metal inhibitor is at least one of a compound having an amino-triazole skeleton and a compound having an imidazole skeleton. The use of the polishing slurry for metal makes it possible to raise the polishing speed sufficiently while keeping the etching speed low, restrain the generation of corrosion of the surface of a metal and dishing, and form a metal-film-buried pattern having a high reliability in the process of formation of wiring of semiconductor devices.
    Type: Grant
    Filed: December 29, 2008
    Date of Patent: July 16, 2013
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Hiroshi Ono, Katsuyuki Masuda, Masanobu Habiro
  • Patent number: 8486880
    Abstract: Provided are a composition for removing a photoresist and a method of manufacturing a semiconductor device using the composition. The composition includes about 60-90 wt % of dimethyl sulfoxide, about 10-30 wt % of a polar organic solvent, about 0.5-1.5 wt % of hydroxy alkyl ammonium and about 1-10 wt % of an amine containing no hydroxyl group.
    Type: Grant
    Filed: November 14, 2011
    Date of Patent: July 16, 2013
    Assignees: Samsung Electronics Co., Ltd., Cheil Industries Inc.
    Inventors: Dong-Min Kang, Dongchan Bae, Kyoochul Cho, Baiksoon Choi, Seunghyun Ahn, Myungkook Park, Goun Kim
  • Patent number: 8481472
    Abstract: A highly aqueous acidic cleaning composition for copper oxide etch removal from Cu-dual damascene microelectronic structures and wherein that composition prevents or substantially eliminates copper redeposition on the Cu-dual damascene microelectronic structure.
    Type: Grant
    Filed: October 6, 2009
    Date of Patent: July 9, 2013
    Assignee: Avantor Performance Materials, Inc.
    Inventors: Glenn Westwood, Seong Jin Hong, Sang In Kim
  • Patent number: 8480809
    Abstract: A method is provided for receiving the wafer on a support, the support being configured for movement along a direction. While moving the wafer, dispensing a cleaning material to clean contaminants from the surface of the wafer, the dispensing applied as a film over a diameter length of the wafer. The cleaning material contains a cleaning liquid, a plurality of solid components, and polymers of a polymeric compound. Each of the plurality of solid components and polymers being greater than zero and less than 3% of the cleaning material, and wherein the polymers become soluble in the cleaning liquid and the solubilized polymers having long polymer chains that capture and entrap solid components and contaminants in the cleaning liquid. Then, rinsing the film off of the wafer with a rinsing meniscus. The rinsing meniscus applied along the diameter length of the wafer and the film is rinsed after the dispensing.
    Type: Grant
    Filed: January 16, 2012
    Date of Patent: July 9, 2013
    Assignee: Lam Research Corporation
    Inventors: Ji Zhu, Arjun Mendiratta, David Mui
  • Publication number: 20130172224
    Abstract: In a cleaning liquid containing (A) an anticorrosive agent, and (B) a solvent, a compound represented by the following formula (1): wherein, R represents a substituent group; m is an integer of 1 to 3; and n is an integer of 0 to 3, wherein provided that m is 2 or 3, R may be the same or different; or the following formula (2): HS—(CH2)x—OH??(2) wherein, x is an integer of no less than 3, is used as the anticorrosive agent (A).
    Type: Application
    Filed: December 27, 2012
    Publication date: July 4, 2013
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventor: Tokyo Ohka Kogyo Co., Ltd.
  • Publication number: 20130171829
    Abstract: A chemical solution that removes undesired metal hard mask yet remains selective to the device wiring metallurgy and dielectric materials. The present invention decreases aspect ratio by selective removal of the metal hard mask before the metallization of the receiving structures without adverse damage to any existing metal or dielectric materials required to define the semiconductor device, e.g. copper metallurgy or device dielectric. Thus, an improved aspect ratio for metal fill without introducing any excessive trapezoidal cross-sectional character to the defined metal receiving structures of the device will result.
    Type: Application
    Filed: January 4, 2012
    Publication date: July 4, 2013
    Applicant: International Business Machines Operation
    Inventors: John A. Fitzsimmons, Shyng-Tsong Chen, David L. Rath, Muthumanickam Sankarapandian, Oscar van der Straten
  • Publication number: 20130165364
    Abstract: A composition effective for removing solder fluxes either as a concentrated material or when diluted with water. The composition is effective in removing all types of solder fluxes including rosin type, resin type, no-clean, low residue, lead-free, organic acid and water soluble soldering fluxes. The composition comprises tripropylene glycol butyl ether and an alkali and has a pH of greater than 7.5. The composition may contain additional optional solvents and additives to enhance cleaning of articles or to impart other properties to the composition. The composition can be contacted with a surface to be cleaned in a number of ways and under a number of conditions depending on the manufacturing or processing variables present.
    Type: Application
    Filed: February 22, 2013
    Publication date: June 27, 2013
    Inventors: Kyle J. Doyel, Michael L. Bixenman, David T. Lober, Wayne Raney, Kevin Soucy
  • Publication number: 20130165365
    Abstract: There are provided a processing liquid for suppressing pattern collapse of a microstructure which includes at least one compound selected from the group consisting of an imidazolium halide containing an alkyl group having 12, 14 or 16 carbon atoms, a pyridinium halide containing an alkyl group having 14 or 16 carbon atoms and an ammonium halide containing an alkyl group having 16 or 18 carbon atoms, and water; and a method for producing a microstructure formed of silicon oxide using the processing liquid.
    Type: Application
    Filed: July 14, 2011
    Publication date: June 27, 2013
    Applicant: MITSUBISHI GAS CHEMICAL COMPANY, INC.
    Inventors: Hiroshi Matsunaga, Masaru Ohto
  • Publication number: 20130157919
    Abstract: Aqueous alkaline cleaning composition free from organic solvents and metal ion-free silicates, the said compositions comprising (A) a thioamino acid having at least one primary amino group and at least one mercapto group, (B) a quaternary ammonium hydroxide, (C) a chelating and/or corrosion inhibiting agent selected from the group consisting of aliphatic and cycloaliphatic amines having at least two primary amino groups, and aliphatic and cycloaliphatic amines having at least one hydroxy group, (D) a nonionic surfactant selected from the group of acetylenic alcohols, alkyloxylated acetylenic alcohols and alkyloxylated sorbitan monocarboxylic acid mono esters; the use of the alkaline cleaning composition for the processing of substrates useful for fabricating electrical and optical devices; and a method for processing substrates useful for fabricating electrical and optical devices making use of the said aqueous alkaline cleaning composition.
    Type: Application
    Filed: July 12, 2011
    Publication date: June 20, 2013
    Applicant: BASF SE
    Inventors: Raimund Mellies, Andreas Klipp
  • Publication number: 20130143785
    Abstract: [Purpose] To provide a cleaning liquid composition that has excellent removability for metallic impurities and particulates, does not cause corrosion of Cu, and can clean a semiconductor substrate having copper wiring in a production process for an electronic device such as a semiconductor device. [Solution means] A cleaning liquid composition for cleaning a semiconductor substrate having copper wiring, the cleaning liquid composition containing one or more types of basic compound containing no metal, and one or more types of phosphonic acid-based chelating agent, and having a hydrogen ion concentration (pH) of 8 to 10.
    Type: Application
    Filed: December 5, 2012
    Publication date: June 6, 2013
    Applicant: Kanto Kagaku Kabushiki Kaisha
    Inventor: Kanto Kagaku Kabushiki Kaisha
  • Patent number: 8455419
    Abstract: Disclosed is a stripping agent that can easily strip a photoresist residue and the like at a low temperature in a short time and, at the same time, does not corrode a wiring material at all and has no need to use an organic solvent such as alcohol as a rinsing liquid. The stripping agent disclosed herein comprises 5 to 50% by mass of a specific amine, 30 to 65% by mass of a specific acid amide, 0.1 to 15% by mass of a saccharide or a sugar alcohol, and 1 to 64.5% by mass of water.
    Type: Grant
    Filed: November 16, 2011
    Date of Patent: June 4, 2013
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Toshihiro Nomura, Masahide Matsubara, Seiji Naito, Takashi Nakamura, Yuuichi Sugano
  • Patent number: 8455420
    Abstract: A spin-on formulation that is useful in stripping an ion implanted photoresist is provided that includes an aqueous solution of a water soluble polymer containing at least one acidic functional group, and at least one lanthanide metal-containing oxidant. The spin-on formulation is applied to an ion implanted photoresist and baked to form a modified photoresist. The modified photoresist is soluble in aqueous, acid or organic solvents. As such one of the aforementioned solvents can be used to completely strip the ion implanted photoresist as well as any photoresist residue that may be present. A rinse step can follow the stripping of the modified photoresist.
    Type: Grant
    Filed: June 28, 2012
    Date of Patent: June 4, 2013
    Assignee: International Business Machines Corporation
    Inventors: Ali Afzali-Ardakani, Mahmoud Khojasteh, Ronald W. Nunes, George G. Totir
  • Patent number: 8449681
    Abstract: A composition for removing photoresist and bottom anti-reflective coating from a semiconductor substrate is disclosed. The composition may comprise a nontoxic solvent, the nontoxic solvent having a flash point above 80 degrees Celsius and being capable of dissolving acrylic polymer and phenolic polymer. The composition may further comprise Tetramethylammonium Hydroxide (TMAH) mixed with the nontoxic solvent.
    Type: Grant
    Filed: December 16, 2010
    Date of Patent: May 28, 2013
    Assignee: Intermolecular, Inc.
    Inventors: Anh Duong, Indranil De
  • Publication number: 20130130961
    Abstract: A method of treatment for inhibiting sulfur-based corrosion or scaling or for removing scaling from a surface including inhibiting corrosion caused by sulfur-containing materials, reducing corrosion caused by sulfur-containing materials, inhibiting scaling caused by sulfur-containing and sulfur-containing materials in gas, liquid or solid phase or any combination of multiple phases of materials, reducing scaling caused by sulfur-containing and sulfur-containing materials, and removing scaling caused by sulfur-containing and sulfur-containing materials. The method involves contacting sulfur-containing materials with a composition containing a turpentine liquid. The method also involves contacting corrodible surfaces or surfaces prone to scaling with a composition containing a turpentine liquid.
    Type: Application
    Filed: October 29, 2012
    Publication date: May 23, 2013
    Applicant: GREEN SOURCE ENERGY LLC
    Inventor: Green Source Energy LLC
  • Patent number: 8444768
    Abstract: Compositions and methods useful for the removal of organic substances from substrates, for example, electronic device substrates such as microelectronic wafers or flat panel displays, are provided. A method is presented which applies a minimum volume of the composition as a coating to the inorganic substrate whereby sufficient heat is added and immediately rinsed with water to achieve complete removal. These compositions and methods are particularly suitable for removing and completely dissolving photoresists of the positive and negative varieties as well as thermoset polymers from electronic devices.
    Type: Grant
    Filed: March 27, 2009
    Date of Patent: May 21, 2013
    Assignee: Eastman Chemical Company
    Inventors: Michael Wayne Quillen, Dale Edward O'Dell, Zachary Philip Lee, John Cleaon Moore, Edward Enns McEntire
  • Publication number: 20130123158
    Abstract: A method of cleaning copper material surfaces in ultra large scale integrated circuits after polishing, the method including: a) mixing and stirring between 1 and 4 wt. % of a surfactant, between 0.5 and 3 wt. % of a chelating agent, between 0.1 and 5 wt. % of a corrosion inhibitor, and deionized water, to yield a water soluble cleaning solution with pH value of between 7.4 and 8.2; and b) washing the copper material surfaces using the cleaning solution after alkaline chemical-mechanical polishing under following conditions: between 2000 and 3000 Pa of pressure; between 1000 and 5000 mL/min of flow rate:; and at least between 0.5 and 2 min of washing time.
    Type: Application
    Filed: January 10, 2013
    Publication date: May 16, 2013
    Applicant: HEBEI UNIVERSITY OF TECHNOLOGY
    Inventor: HEBEI UNIVERSITY OF TECHNOLOGY
  • Patent number: 8440599
    Abstract: A composition comprising one or more water soluble organic solvents comprising a glycol ether; water; a fluoride containing compound provided that if the fluoride containing compound is ammonium fluoride than no additional fluoride containing compound is added to the composition; optionally a quaternary ammonium compound; and optionally a corrosion inhibitor is disclosed herein that is capable of removing residues from an article such as photoresist and/or etching residue. Also disclosed herein is a method for removing residues from an article using the composition disclosed herein.
    Type: Grant
    Filed: August 25, 2011
    Date of Patent: May 14, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Matthew I. Egbe, Michael Walter Legenza, Thomas Michael Weider, Jennifer May Rieker
  • Patent number: 8440389
    Abstract: Back end of line (BEOL) stripping solutions which can be used in a stripping process that replaces etching resist ashing process are provided. The stripping solutions are useful for fabricating circuits and/or forming electrodes on semiconductor devices for semiconductor integrated circuits with good efficiency and with low and acceptable metal etch rates. Methods for their use are similarly provided. The preferred stripping agents contain a polar aprotic solvent, water, an amine and a quaternary hydroxide that is not tetramethylammonium hydroxide. Further provided are integrated circuit devices and electronic interconnect structures prepared according to these methods.
    Type: Grant
    Filed: June 24, 2009
    Date of Patent: May 14, 2013
    Assignee: Dynaloy, LLC
    Inventors: Kimberly Dona Pollard, John M. Atkinson, Raymond Chan, Michael T. Phenis, Allison C. Rector, Donald Pfettscher
  • Publication number: 20130116159
    Abstract: A photoresist and post etch cleaning solution for semiconductor wafers comprising: A. a polar aprotic solvent, B. an inorganic base; C. a co-solvent for said inorganic base; D. a unsaturated cycloaliphatic compound having a ring ether group and at least one substituent bearing a primary hydroxyl group; E. an organic base comprising an amine compound; and F. a nonionic surfactant bearing at least one ether group. The wafer containing photoresist residue or post etch residue can be cleaned by contacting the solution in a spray or immersion.
    Type: Application
    Filed: October 15, 2012
    Publication date: May 9, 2013
    Applicant: DYNALOY, LLC
    Inventor: DYNALOY, LLC
  • Publication number: 20130109605
    Abstract: A composition and process for removing photoresist and/or sacrificial anti-reflective coating (SARC) materials from a substrate having such material(s) thereon. The composition includes a base component, such as a quaternary ammonium base in combination with an alkali or alkaline earth base, or alternatively a strong base in combination with an oxidant. The composition may be utilized in aqueous medium, e.g., with chelator, surfactant, and/or co-solvent species, to achieve high-efficiency removal of photoresist and/or SARC materials in the manufacture of integrated circuitry, without adverse effect on metal species on the substrate, such as copper, aluminum and/or cobalt alloys, and without damage to SiOC-based dielectric materials employed in the semiconductor architecture.
    Type: Application
    Filed: December 18, 2012
    Publication date: May 2, 2013
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventor: ADVANCED TECHNOLOGY MATERIALS, INC.
  • Patent number: 8431516
    Abstract: The compositions and methods for the removal of residues and contaminants from metal or dielectric surfaces comprises at least one alkyl diphosphonic acid, at least one second acidic substance at a mole ratio of about 1:1 to about 10:1 in water, and pH is adjusted to from about 6 to about 10 with a metal ion free base, and a surfactant. Particularly, a composition and method of cleaning residues after chemical mechanical polishing of a copper or aluminum surface of the semiconductor substrates.
    Type: Grant
    Filed: January 26, 2012
    Date of Patent: April 30, 2013
    Inventor: Wai Mun Lee
  • Publication number: 20130096044
    Abstract: Provided is a liquid concentrate for cleaning composition which could exhibit excellent environmental safety etc. by adding afterward a predetermined amount of water, and also has excellent regeneration efficiency, and provided are a cleaning composition and a cleaning method thereof. Disclosed is a liquid concentrate for cleaning composition which is used as a mixture with water and is intended for cleaning an object to be cleaned in a clouded state, with a predetermined amount of water having been added thereto, the liquid concentrate for cleaning composition including, a first organic solvent which is a predetermined hydrophobic glycol ether compound or the like, and a second organic solvent which is a predetermined hydrophilic amine compound.
    Type: Application
    Filed: May 31, 2011
    Publication date: April 18, 2013
    Applicant: Kaken Tech Co., Ltd.
    Inventors: Shigeo Hori, Hiroki Nakatsukasa, Yuki Akamatsu
  • Publication number: 20130090280
    Abstract: The present invention relates, in part, to compositions including at least one hydrofluoro-olefin or hydrochlorofluoro-olefin solvent. Such compositions may optionally contain one or more alcohols or other co-solvent or agent and may be used to provide one or more cleaning applications.
    Type: Application
    Filed: August 23, 2012
    Publication date: April 11, 2013
    Applicant: HONEYWELL INTERNATIONAL INC.
    Inventors: RAJAT S. BASU, KANE D. COOK, RYAN HULSE, DIANA MERCIER, GARY M. KNOPECK, TODD WHITCOMB, MARTIN R. PAONESSA
  • Patent number: 8415255
    Abstract: A micellar solution is used to seal pores exposed at the bottom and sidewall surfaces of a structure etched in or through a porous low dielectric constant material. The micellar solution is also effective to clean away etch residues from the etched structure.
    Type: Grant
    Filed: August 5, 2005
    Date of Patent: April 9, 2013
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Balgovind Sharma
  • Patent number: 8414708
    Abstract: Provided is a method and apparatus for cleaning a photomask. The photomask including a first region and a second region surrounding the first region, a pattern to be protected disposed on the first region, and a material to be removed exists on the second region. A cleaning liquid is sprayed from an inside region of the second region toward an outer region of the second region to remove the material, and a gas is blown from the first region toward the second region to protect the pattern.
    Type: Grant
    Filed: July 29, 2010
    Date of Patent: April 9, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yun-song Jeong, Hyung-ho Ko, Sung-jae Han, Kyung-noh Kim, Chan-uk Jeon
  • Patent number: 8404626
    Abstract: One embodiment of the present invention is a method of fabricating an integrated circuit. The method includes providing a substrate having a metal and dielectric damascene metallization layer and depositing substantially on the metal a cap. After deposition of the cap, the substrate is cleaned with a solution comprising an amine to provide a pH for the cleaning solution of 7 to about 13. Another embodiment of the presented invention is a method of cleaning substrates. Still another embodiment of the present invention is a formulation for a cleaning solution.
    Type: Grant
    Filed: December 13, 2008
    Date of Patent: March 26, 2013
    Assignee: Lam Research Corporation
    Inventors: Artur Kolics, Shijian Li, Tiruchirapalli Arunagiri, William Thie
  • Publication number: 20130072411
    Abstract: A semiconductor wafer cleaning formulation, including 1-35% wt. fluoride source, 20-60% wt. organic amine(s), 0.1-40% wt. nitrogenous component, e.g., a nitrogen-containing carboxylic acid or an imine, 20-50% wt. water, and 0-21% wt. metal chelating agent(s). The formulations are useful to remove residue from wafers following a resist plasma ashing step, such as inorganic residue from semiconductor wafers containing delicate copper interconnecting structures.
    Type: Application
    Filed: October 23, 2012
    Publication date: March 21, 2013
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventor: Advanced Technology Materials, Inc.
  • Patent number: 8399391
    Abstract: A photoresist residue removal composition is provided. The photoresist residue removal composition essentially contains glycolic and water, to which a pH control agent and/or a cleanability improver is selectively added. The photoresist residue removal composition has a high capability to remove residues caused by plasma etching and ashing of a metal or silicon oxide layer under a photoresist pattern, does not cause corrosion, and is eco-friendly.
    Type: Grant
    Filed: October 28, 2009
    Date of Patent: March 19, 2013
    Inventor: Ho Sung Choi
  • Publication number: 20130061882
    Abstract: A water-rich hydroxylamine formulation for photoresist and post-etch/post-ash residue removal in applications wherein a semiconductor substrate comprises aluminum. The cleaning composition comprises from about 2 to about 15% by wt. of hydroxylamine; from about 50 to about 80% by wt. of water; from about 0.01 to about 5.0% by wt. of a corrosion inhibitor; from about 5 to about 45% by wt. of a component selected from the group consisting of: an alkanolamine having a pKa<9.0, a water-miscible solvent, and a mixture thereof. Employment of such composition exhibits efficient cleaning capability for Al substrates, minimal silicon etch while protecting aluminum for substrates comprising both materials.
    Type: Application
    Filed: March 7, 2012
    Publication date: March 14, 2013
    Applicant: Air Products and Chemicals, Inc.
    Inventors: Aiping Wu, Yi-Chia Lee, Wen Dar Liu, Machukar Bhaskara Rao, Gautam Banerjee
  • Patent number: 8389454
    Abstract: A manufacturing a thin film transistor array panel includes depositing a first thin film including aluminum on a substrate, patterning the first thin film by photolithography and etching, cleansing the substrate including the first thin film, and depositing a second thin film on the cleansed substrate. The cleansing is performed using a cleansing material including ultrapure water, cyclic amine, pyrogallol, benzotriazole, and methyl glycol. The cleansing material includes ultrapure water at about 85 wt % to about 99 wt %, cyclic amine at about 0.01 wt % to about 1.0 wt %, pyrogallol at about 0.01 wt % to 1.0 wt %, benzotriazole at about 0.01 wt % to 1.0 wt %, and methyl glycol at about 0.01 wt % to 1.0 wt %.
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: March 5, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventor: Hong-Sick Park
  • Patent number: 8389453
    Abstract: Tetrafluoroboric acid and an organic nitrogenous base, related compounds and compositions, as can be used in conjunction with various methods of cleaning and/or the treatment of substrate surfaces.
    Type: Grant
    Filed: November 7, 2008
    Date of Patent: March 5, 2013
    Assignee: Vitech International, Inc.
    Inventor: Rod Thomson
  • Patent number: 8389455
    Abstract: Compositions and methods useful for the removal of organic substances from substrates, for example, electronic device substrates such as microelectronic wafers or flat panel displays, are provided. A method is presented which applies a minimum volume of the composition as a coating to the inorganic substrate whereby sufficient heat is added and immediately rinsed with water to achieve complete removal. These compositions and methods are particularly suitable for removing and completely dissolving photoresists of the positive and negative varieties as well as thermoset polymers from electronic devices.
    Type: Grant
    Filed: January 10, 2012
    Date of Patent: March 5, 2013
    Assignee: Eastman Chemical Company
    Inventors: Michael Wayne Quillen, Dale Edward O'Dell, Zachary Philip Lee, John Cleaon Moore, Edward Enns McEntire
  • Publication number: 20130053291
    Abstract: A semiconductor processing composition and method for cleaning semiconductor wafers post chemical mechanical polishing comprising a phosphorous base and optionally at least one surfactant.
    Type: Application
    Filed: August 22, 2011
    Publication date: February 28, 2013
    Inventors: Atsushi Otake, Paul R. Bernatis, Cass X. Shang