For Printed Or Integrated Electrical Circuit, Or Semiconductor Device Patents (Class 510/175)
  • Patent number: 8796195
    Abstract: Tetrafluoroboric acid and an organic nitrogenous base, related compounds and compositions, as can be used in conjunction with various methods of cleaning and/or the treatment of substrate surfaces.
    Type: Grant
    Filed: March 4, 2013
    Date of Patent: August 5, 2014
    Assignee: Vitech International, Inc.
    Inventor: Rod Thomson
  • Patent number: 8791019
    Abstract: The present invention provides a metal polishing liquid capable of CMP at a high Cu polishing rate and solving the problems: (a) generation of scratches attributable to solid particles, (b) generation of deteriorations in flatness such as dishing and erosion, (c) complexity in a washing process for removing abrasive particles remaining on the surface of a substrate after polishing, and (d) higher costs attributable to the cost of a solid abrasive itself and to waste liquid treatment, as well as a method of polishing a film to be polished by using the same.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: July 29, 2014
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Yutaka Nomura, Hiroshi Nakagawa, Sou Anzai, Ayako Tobita, Takafumi Sakurada, Katsumi Mabuchi
  • Patent number: 8790465
    Abstract: One embodiment of the present invention is a method of fabricating an integrated circuit. The method includes providing a substrate having a metal and dielectric damascene metallization layer and depositing substantially on the metal a cap. After deposition of the cap, the substrate is cleaned with a solution comprising an amine to provide a pH for the cleaning solution of 7 to about 13. Another embodiment of the presented invention is a method of cleaning substrates. Still another embodiment of the present invention is a formulation for a cleaning solution.
    Type: Grant
    Filed: March 22, 2013
    Date of Patent: July 29, 2014
    Assignee: Lam Research Corporation
    Inventors: Artur Kolics, Shijian Li, Tiruchirapalli Arunagiri, William Thie
  • Publication number: 20140206588
    Abstract: An cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions include novel corrosion inhibitors. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material.
    Type: Application
    Filed: March 25, 2014
    Publication date: July 24, 2014
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: David Angst, Peng Zhang, Jeffrey Barnes, Prerna Sonthalia, Emanuel Cooper, Karl Boggs
  • Patent number: 8772214
    Abstract: A composition and method for removing residues such as, without limitation, post etched and/or post ashed photoresist, plasma etching, ashing, and mixtures thereof from a substrate is described herein. In one aspect, there is provided a method for removing residues from a substrate comprising: contacting the substrate with a composition comprising: water; a quaternary ammonium hydroxide compound; a fluoride containing compound; and optionally a corrosion inhibitor wherein the composition is free of an added organic solvent and wherein the composition has a pH greater than 9.
    Type: Grant
    Filed: October 14, 2005
    Date of Patent: July 8, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Aiping Wu, Roberto John Rovito
  • Publication number: 20140187051
    Abstract: A method for removing poly-silicon dummy gate structures using an ammonium hydroxide-hydrogen peroxide-water (APM) solution with concentrations between 1:10:20 and 1:1:2 and at temperatures between 20 C and 80 C for times between 1 minute and 60 minutes.
    Type: Application
    Filed: December 27, 2012
    Publication date: July 3, 2014
    Applicant: INTERMOLECULAR INC.
    Inventor: Intermolecular Inc.
  • Patent number: 8765653
    Abstract: The present invention is a method of cleaning to removal residue in semiconductor manufacturing processing, comprising contacting a surface to be cleaned with an aqueous formulation having a polymer selected from the group consisting of acrylamido-methyl-propane sulfonate) polymers, acrylic acid-2-acrylamido-2-methylpropane sulfonic acid copolymer and mixtures thereof and a quaternary ammonium hydroxide having greater than 4 carbon atoms or choline hydroxide with a non-acetylinic surfactant. The present invention is also a post-CMP cleaning formulation having the components set forth in the method above.
    Type: Grant
    Filed: June 10, 2010
    Date of Patent: July 1, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Dnyanesh Chandrakant Tamboli, Madhukar Bhaskara Rao, Gautam Banerjee, Keith Randolph Fabregas
  • Patent number: 8764906
    Abstract: A method and cleaning solution for cleaning electronic substrates, such as a semiconductor wafers, hard disks, photomasks or imprint molds. The method comprises the steps of contacting a surface of the substrate with a cleaning solution comprised of a polyphosphate, and then removing the cleaning solution from the surface. Additional optional steps include applying acoustic energy to the cleaning solution while the cleaning solution is in contact with the surface, and removing the cleaning solution from the surface by rinsing the surface with a rinsing solution with or without the application of acoustic energy. The cleaning solution comprises a polyphosphate, such as any of the water soluble polyphosphates. Depending on the application, the cleaning solution may also comprise a base and/or a quantity of suspended particles. Complexing agents, amines, biocides, surfactants and/or other substances, may also be added to the cleaning solution.
    Type: Grant
    Filed: December 24, 2012
    Date of Patent: July 1, 2014
    Assignee: Fontana Technology
    Inventor: Mark Jonathan Beck
  • Patent number: 8765654
    Abstract: An oxidizing aqueous cleaning composition and process for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue thereon. The oxidizing aqueous cleaning composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water. The composition achieves highly efficacious cleaning of the residue material from the microelectronic device while simultaneously not damaging the interlevel dielectric and metal interconnect material also present thereon.
    Type: Grant
    Filed: April 11, 2011
    Date of Patent: July 1, 2014
    Assignee: Advanced Technology Materials, Inc.
    Inventors: David W. Minsek, Michael B. Korzenski, Martha M. Rajaratnam
  • Publication number: 20140179582
    Abstract: The invention provides a process for removing a film from a substrate, said process comprising applying a composition to the film, and wherein the composition comprises at least the following: a) water; and b) at least one compound selected from the following compounds (i-v): i) NR4HF2 (Formula 1), wherein R?H, alkyl, substituted alkyl, ii) NR4F (Formula 2), wherein R?H, alkyl, substituted alkyl, iii) HF (hydrofluoric acid), iv) H2SiF6 (hexafluorosilicic acid), or v) combinations thereof. The invention also provides a composition comprising at least the following: a) water; and b) at least one compound selected from the following compounds (i-v): i) NR4HF2 (Formula 1), wherein R?H, alkyl, substituted alkyl, ii) NR4F (Formula 2), wherein R?H, alkyl, substituted alkyl, iii) HF (hydrofluoric acid), iv) H2SiF6 (hexafluorosilicic acid), or v) combinations thereof.
    Type: Application
    Filed: March 6, 2013
    Publication date: June 26, 2014
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: Deyan Wang, Martin W. Bayes, Peter Trefonas, Kathleen M. O'connell
  • Patent number: 8759268
    Abstract: The present invention provides a residue-removing solution for use after a dry process, the residue-removing solution being capable of preventing minute cracks on a Cu surface, which has heretofore been unresolved with known polymer-removing solutions; and a method for manufacturing semiconductor devices using the residue-removing solution. More specifically, the invention relates to a residue-removing solution for removing residues present on semiconductor substrates after dry etching and/or ashing, the solution containing water and at least one component selected from the group consisting of (a) a keto acid, (b) a keto acid salt, and (c) an aldehyde acid salt; and a method for removing residues using the residue-removing solution.
    Type: Grant
    Filed: August 23, 2007
    Date of Patent: June 24, 2014
    Assignee: Daikin Industries, Ltd.
    Inventor: Shingo Nakamura
  • Patent number: 8754021
    Abstract: A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions are substantially devoid of amine and ammonium-containing compounds, e.g., quaternary ammonium bases. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material.
    Type: Grant
    Filed: February 19, 2010
    Date of Patent: June 17, 2014
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Jeffrey A. Barnes, Jun Liu, Peng Zhang
  • Patent number: 8747564
    Abstract: A residue-removing solution for removing residues after a dry process, which includes an amine salt of a monocarboxylic acid and/or a salt of a polycarboxylic acid that forms a 7- or more-membered ring chelate with copper, and water, the residue-removing solution containing aqueous solution (A) or (B) as described herein. Also disclosed is a method for removing residues present on a semiconductor substrate after dry etching and/or ashing. Further, a method for manufacturing semiconductor devices is further disclosed, which includes subjecting a semiconductor substrate having Cu as an interconnect material, and a low dielectric constant film as an interlayer dielectric material, to dry etching and/or ashing; and bringing the processed semiconductor substrate into contact with the above residue-removing solution.
    Type: Grant
    Filed: August 4, 2009
    Date of Patent: June 10, 2014
    Assignee: Daikin Industries, Ltd.
    Inventor: Shingo Nakamura
  • Patent number: 8729002
    Abstract: Methods for preventing isotropic removal of materials at corners formed by seams, keyholes, and other anomalies in films or other structures include use of etch blockers to cover or coat such corners. This covering or coating prevents exposure of the corners to isotropic etch solutions and cleaning solutions and, thus, prevents higher material removal rates at the corners than at smoother areas of the structure or film. Solutions, including wet etchants and cleaning solutions, that include at least one type of etch blocker are also disclosed, as are systems for preventing higher rates of material removal at corners formed by seams, crevices, or recesses in a film or other structure. Semiconductor device structures in which etch blockers are located so as to prevent isotropic etchants from removing material from corners of seams, crevices, or recesses of a film or other structure at undesirably high rates are also disclosed.
    Type: Grant
    Filed: March 6, 2012
    Date of Patent: May 20, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Nishant Sinha, J. Neil Greeley
  • Publication number: 20140134778
    Abstract: An aqueous alkaline composition for treating the surface of silicon substrates, the said composition comprising: (A) a quaternary ammonium hydroxide; and (B) a component selected from the group consisting of water-soluble acids and their water-soluble salts of the general formulas (I) to (V): (R1—S03)nXn+(I), R—P032-(Xn+)3-n(II); (RO—S03-)nXn+(III), RO—P032-(Xn+)3-n (IV), and [(RO)2P02-]nXn+(V); wherein the n=1 or 2; X is hydrogen, ammonium, or alkaline or alkaline-earth metal; the variable R1 is an olefmically unsaturated aliphatic or cycloaliphatic moiety and R is R1 or an alkylaryl moiety; and (C) a buffer system, wherein at least one component other than water is volatile; the use of the composition for treating silicon substrates, a method for treating the surface of silicon substrates, and methods for manufacturing devices generating electricity upon the exposure to electromagnetic radiation.
    Type: Application
    Filed: July 12, 2012
    Publication date: May 15, 2014
    Applicant: BASF SE
    Inventor: Berthold Ferstl
  • Patent number: 8722544
    Abstract: A method of simultaneously cleaning inorganic and organic contaminants from semiconductor wafers and micro-etching the semiconductor wafers. After the semiconductor wafers are cut or sliced from ingots, they are contaminated with cutting fluid as well as metal and metal oxides from the saws used in the cutting process. Aqueous alkaline cleaning and micro-etching solutions containing alkaline compounds and mid-range alkoxylates are used to simultaneously clean and micro-etch the semiconductor wafers.
    Type: Grant
    Filed: October 14, 2010
    Date of Patent: May 13, 2014
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Robert K. Barr, Raymond Chan
  • Publication number: 20140128307
    Abstract: Cleaning solutions and processes for cleaning semiconductor devices or semiconductor tooling during manufacture thereof generally include contacting the semiconductor devices or semiconductor tooling with an acidic aqueous cleaning solution free of a fluorine containing compound, the acidic aqueous cleaning solution including at least one antioxidant and at least one non-oxidizing acid.
    Type: Application
    Filed: November 6, 2012
    Publication date: May 8, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Vishal Chhabra, Laertis Economikos, John A. Fitzsimmons, James Hannah, Mahmoud Khojasteh, Jennifer Muncy
  • Patent number: 8716209
    Abstract: The invention provides an agent for post-etch treating a silicon dielectric film, including: at least one nitrogen-containing substance selected from the group consisting of ammonium bases and amine compounds; an acid; and at least one silicon-containing compound containing silicon, carbon and hydrogen. According to the present invention, it becomes possible to suppress an increase in the dielectric constant of a silicon dielectric film caused by etching.
    Type: Grant
    Filed: September 15, 2009
    Date of Patent: May 6, 2014
    Assignee: Fujitsu Limited
    Inventors: Yasushi Kobayashi, Kouta Yoshikawa, Yoshihiro Nakata, Tadahiro Imada, Shirou Ozaki
  • Patent number: 8716210
    Abstract: Material for cleaning using a tri-state body are disclosed. A substrate having a particle deposited thereon is provided. A tri-state body that has a solid portion, liquid portion, and a gas portion is generated. A force is applied over the tri-state body to promulgate an interaction between the solid portion and the particle. The tri-state body is removed along with the particle from the surface of the substrate. The interaction between the solid portion and the particle causes the particle to be removed along with the tri-state body.
    Type: Grant
    Filed: November 17, 2010
    Date of Patent: May 6, 2014
    Assignee: Lam Research Corporation
    Inventors: Erik M. Freer, John M. de Larios, Katrina Mikhaylichenko, Michael Ravkin, Mikhail Korolik, Fred C. Redeker
  • Publication number: 20140121145
    Abstract: A process for treatment of shaped bodies comprising copper, wherein an aqueous mixture (M) comprising (a.) chlorine-free acids without carboxyl groups, (b.) oxidizing agents, (c.) aqueous solvent and optionally additional additives is contacted with the shaped body. Another characteristic feature of the process is that the aqueous mixture (M) after the etching or pickling additionally comprises (e.) dissolved copper and is separated from the solid. Also encompassed is a process for workup of the aqueous mixture (M) which has been separated and additionally comprises dissolved copper by electrolysis. Further provided are mixtures (MI) comprising (a.) from 10 to 40% by weight of methanesulfonic acid, (b.) from 10 to 20% by weight of hydrogen peroxide and (c.) from 40 to 80% by weight of water, and the use thereof for etching or pickling of shaped bodies comprising copper.
    Type: Application
    Filed: October 21, 2013
    Publication date: May 1, 2014
    Applicant: BASF SE
    Inventors: Thomas Paasche, Henning Urch
  • Publication number: 20140113818
    Abstract: The present invention relates to a surfactant composition, consisting of 70 wt %-99.9 wt % sophorolactone, 0-1 wt % sophorolipid acid, less than 0.1% residual substrate, and remainder water. The invention further relates to products comprising this composition or hydrolysates thereof. The invention also relates to uses of these products and hydrolysates.
    Type: Application
    Filed: June 6, 2011
    Publication date: April 24, 2014
    Applicant: ECOVER CO-ORDINATION CENTER N.V.
    Inventors: Dirk Develter, Mark Renkin
  • Publication number: 20140103251
    Abstract: An improved composition and method for cleaning a surface of a semiconductor wafer are provided. The composition can be used to selectively remove a low-k dielectric material such as silicon dioxide, a photoresist layer overlying a low-k dielectric layer, or both layers from the surface of the wafer. The composition is formulated according to the invention to provide a desired removal rate of the low-k dielectric and/or photoresist from the surface of the wafer. By varying a fluorine ion component, and the amounts of the fluorine ion component and an acid component, and controlling the pH, a composition can be formulated in order to achieve a desired low-k dielectric removal rate that ranges from slow and controlled at about 50 to about 1000 angstroms per minute, to a relatively rapid removal of low-k dielectric material at greater than about 1000 angstroms per minute.
    Type: Application
    Filed: December 27, 2013
    Publication date: April 17, 2014
    Applicant: Micron Technology, Inc.
    Inventor: Donald L. Yates
  • Publication number: 20140107008
    Abstract: A cleaning composition is provided. The cleaning composition includes at least one polyamino-polycarboxylic acid or at least one salt thereof, at least one solvent, at least one substituted or non-substituted phenethylamine and water. The solvent is selected from a group consisting of glycols.
    Type: Application
    Filed: October 16, 2013
    Publication date: April 17, 2014
    Applicant: UWIZ Technology Co., Ltd.
    Inventors: Yu-Chi Fu, Wen-Tsai Tsai, Ming-Hui Lu, Song-Yuan Chang
  • Patent number: 8685909
    Abstract: An cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions include novel corrosion inhibitors. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material.
    Type: Grant
    Filed: March 23, 2009
    Date of Patent: April 1, 2014
    Assignee: Advanced Technology Materials, Inc.
    Inventors: David Angst, Peng Zhang, Jeffrey Barnes, Prerna Sonthalia, Emanuel Cooper, Karl Boggs
  • Patent number: 8685910
    Abstract: It is disclosed a cleaning liquid for stripping and dissolving a photoresist pattern having a film thickness of 10-150 ?m, which contains (a) 0.5-15 mass % of a quaternary ammonium hydroxide, such as tetrapropylammonium hydroxide and tetrabutylammonium hydroxide, (b) 65-97 mass % of a water-soluble organic solvent, such as dimethylsulfoxide or a mixed solvent thereof with N-methyl-2-pyrrolidone, sulforane, etc., and (c) 0.5-30 mass % of water, and a method for treating a substrate therewith.
    Type: Grant
    Filed: July 28, 2010
    Date of Patent: April 1, 2014
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Shigeru Yokoi, Kazumasa Wakiya, Koji Saito
  • Publication number: 20140076365
    Abstract: An aqueous cleaning composition and method for post-CMP cleaning of a semiconductor device which contains a copper interconnect wherein the cleaning composition contains (A) N,N,N?-trimethyl-N?-(2-hydroxyethyl)ethylenediamine; and (B) at least one corrosion inhibitor selected from the group consisting essentially of uric acid, xanthine, theophyline, paraxanthine, theobromine, caffeine, guanine, hypoxanthine, adenine, and combinations thereof.
    Type: Application
    Filed: September 13, 2013
    Publication date: March 20, 2014
    Applicant: EKC Technology, Inc.
    Inventors: Atsushi Otake, Akira Kuroda
  • Publication number: 20140076355
    Abstract: According to one embodiment, a treatment apparatus includes an electrolysis unit, an alkali addition unit, and a treatment unit. The electrolysis unit includes an anode electrode and a cathode electrode. The electrolysis unit is configured to electrolyze a solution containing an alkali containing no metal, hydrochloric acid, and water. The alkali addition unit is configured to further add the alkali containing no metal to a solution that has undergone the electrolysis. The treatment unit is configured to perform treatment of an object to be treated using a solution that has undergone the electrolysis and in which the alkali containing no metal is further added.
    Type: Application
    Filed: August 16, 2013
    Publication date: March 20, 2014
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Hideaki HIRABAYASHI, Yuji NAGASHIMA, Masaaki HIRAKAWA
  • Patent number: 8669217
    Abstract: A cleaning method is provided that includes a step of preparing a cleaning composition containing 57 to 95 wt % of (component a) water, 1 to 40 wt % of (component b) a secondary hydroxy group- and/or tertiary hydroxy group-containing hydroxy compound, (component c) an organic acid, and (component d) a quaternary ammonium compound, the composition having a pH of 5 to 10, and a step of removing plasma etching residue formed above a semiconductor substrate by means of the cleaning composition.
    Type: Grant
    Filed: March 17, 2011
    Date of Patent: March 11, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Atsushi Mizutani, Hideo Fushimi, Tomonori Takahashi, Kazutaka Takahashi
  • Patent number: 8668777
    Abstract: Mixtures containing concentrated sulfuric acid used for stripping photoresist from semiconductor wafer, such as SOM and SPM mixtures, are more quickly removed from a wafer surface using another liquid also containing high concentration of sulfuric acid, with the second liquid furthermore containing controlled small amounts of fluoride ion. The second liquid renders the wafer surface hydrophobic, which permits easy removal of the sulfuric acid therefrom by spinning and/or rinsing.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: March 11, 2014
    Assignee: Lam Research AG
    Inventors: Harald Okorn-Schmidt, Dieter Frank, Franz Kumnig
  • Patent number: 8658583
    Abstract: An improved method for making a photoresist stripping solution for a metal-containing semi-conductor substrate where the stripping solution comprises a blend of at least one organic sulfonic acid with a halogen-free hydrocarbon solvent wherein concentrations of trace amounts of residual sulfuric acid and sulfur trioxide in the blend are reduced to very low levels.
    Type: Grant
    Filed: September 22, 2009
    Date of Patent: February 25, 2014
    Assignee: EKC Technology, Inc.
    Inventor: Wai Mun Lee
  • Patent number: 8657966
    Abstract: Embodiments of the current invention describe cleaning solutions to clean the surface of a photomask, methods of cleaning the photomask using at least one of the cleaning solutions, and combinatorial methods of formulating the cleaning solutions. The cleaning solutions are formulated to preserve the optical properties of the photomask, and in particular, of a phase-shifting photomask.
    Type: Grant
    Filed: August 12, 2009
    Date of Patent: February 25, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Nikhil D. Kalyankar, Chi-I Lang, Zachary Fresco
  • Publication number: 20140045335
    Abstract: A photolithographic rinse solution includes deionized water, and a surfactant, the surfactant including a cyclic amine group and at least one non-amine cyclic group joined to or fused with the cyclic amine group, wherein the cyclic amine group includes a ring having a carbon number of 4 to 6, and the non-amine cyclic group includes a ring having a carbon number of 5 to 8.
    Type: Application
    Filed: August 8, 2013
    Publication date: February 13, 2014
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Chawon KOH, Su Min KIM, Hyunwoo KIM, Hyojin YUN
  • Patent number: 8647445
    Abstract: Cleaning processes for cleaning semiconductor devices or semiconductor tooling during manufacture thereof generally include contacting the semiconductor devices or semiconductor tooling with an antioxidant to form an insoluble adduct followed by solubilizing the adduct with a basic aqueous cleaning solution.
    Type: Grant
    Filed: November 6, 2012
    Date of Patent: February 11, 2014
    Assignee: International Business Machines Corporation
    Inventors: Vishal Chhabra, John A. Fitzsimmons, Mahmoud Khojasteh, Jennifer Muncy
  • Publication number: 20140038398
    Abstract: In a method of treating a substrate according to the inventive concept, the substrate is treated using a buffer solution including carbon dioxide (CO2) water in combination with an alkaline solution.
    Type: Application
    Filed: July 25, 2013
    Publication date: February 6, 2014
    Applicant: Samsung Electronics Co., Ltd.
    Inventor: Jung Shik Heo
  • Patent number: 8642526
    Abstract: A removal composition and process for removing low-k dielectric material, etch stop material, and/or metal stack material from a rejected microelectronic device structure having same thereon. The removal composition includes hydrofluoric acid. The composition achieves at least partial removal of the material(s) from the surface of the microelectronic device structure having same thereon, for recycling and/or reuse of said structure, without damage to the underlying polysilicon or bare silicon layer employed in the semiconductor architecture.
    Type: Grant
    Filed: May 9, 2011
    Date of Patent: February 4, 2014
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Pamela M. Visintin, Ping Jiang, Michael B. Korzenski, Mackenzie King
  • Publication number: 20140011359
    Abstract: Aqueous, nitrogen-free cleaning composition, preparation and use thereof are provided. The composition having a pH of from 5 to 8 comprises (A) an amphiphilic nonionic, water-soluble or water-dispersible surfactant and (B) a metal chelating agent selected from polycarboxylic acids having at least 3 carboxylic acid groups. The composition is used for removing residues and contaminants from semiconductor substrates.
    Type: Application
    Filed: February 28, 2012
    Publication date: January 9, 2014
    Applicant: BASF SE
    Inventors: Andreas Klipp, Vijay Immanuel Raman, Shyam Sundar Venkataraman, Raimund Mellies, Mingjie Zhong
  • Patent number: 8618036
    Abstract: An aqueous solution of a cerium (IV) complex or salt having an extended lifetime is provided. In one embodiment, the extended lifetime is achieved by adding at least one booster additive to an aqueous solution of the cerium (IV) complex or salt. In another embodiment, the extended lifetime is achieved by providing an aqueous solution of a cerium (IV) complex or salt and a cerium (III) complex or salt. The cerium (III) complex or salt can be added or it can be generated in-situ by introducing a reducing agent into the aqueous solution of the cerium (IV) complex or salt. The aqueous solution can be used to remove a mask material, especially an ion implanted and patterned photoresist, from a surface of a semiconductor substrate.
    Type: Grant
    Filed: November 14, 2011
    Date of Patent: December 31, 2013
    Assignees: International Business Machines Corporation, Advanced Technology Materials, Inc.
    Inventors: Ali Afzali-Ardakani, John A. Fitzsimmons, Nicholas C. M. Fuller, Mahmoud Khojasteh, Jennifer V. Muncy, George G. Totir, Karl E. Boggs, Emanuel I. Cooper, Michael W. Owens, James L. Simpson
  • Patent number: 8614053
    Abstract: Processes associated apparatus and compositions useful for removing organic substances from substrates, for example, electronic device substrates such as microelectronic wafers or flat panel displays, are provided. Processes are presented that apply a minimum volume of a composition as a coating to the inorganic substrate whereby sufficient heat is added and the organic substances are completely removed by rinsing. The compositions and processes may be suitable for removing and, in some instances, completely dissolving photoresists of the positive and negative varieties as well as thermoset polymers from electronic devices.
    Type: Grant
    Filed: September 27, 2010
    Date of Patent: December 24, 2013
    Assignee: Eastman Chemical Company
    Inventors: Michael Wayne Quillen, Dale Edward O'Dell, Zachary Philip Lee, John Cleaon Moore, Edward Enns McEntire, Spencer Erich Hochstetler, Rodney Scott Armentrout, Richard Dalton Peters, Darryl W. Muck
  • Patent number: 8614175
    Abstract: Disclosed herein is a cleaning solution composition for a solar cell, comprising: organic alkali compounds, water-soluble glycol ether compounds, percarbonates, organic phosphoric acids or salts thereof, and water.
    Type: Grant
    Filed: June 23, 2010
    Date of Patent: December 24, 2013
    Assignee: Dongwoo Fine-Chem Co., Ltd.
    Inventors: Hyo-Joong Yoon, Soon-Hong Bang, Sang-Tae Kim, Seung-Yong Lee
  • Patent number: 8608859
    Abstract: A method is provided for removing contamination from a substrate. The method includes applying a cleaning solution having a dispersed phase, a continuous phase and particles dispersed within the continuous phase to a surface of the substrate. The method includes forcing one of the particles dispersed within the continuous phase proximate to one of the surface contaminants. The forcing is sufficient to overcome any repulsive forces between the particles and the surface contaminants so that the one of the particles and the one of the surface contaminants are engaged. The method also includes removing the engaged particle and surface contaminant from the surface of the substrate. A process to manufacture the cleaning material is also provided.
    Type: Grant
    Filed: April 27, 2010
    Date of Patent: December 17, 2013
    Assignee: Lam Research Corporation
    Inventors: Erik M. Freer, John M. deLarios, Katrina Mikhaylichenko, Michael Ravkin, Mikhail Korolik, Fred C. Redeker
  • Patent number: 8601639
    Abstract: An apparatus is provided that includes a substrate support assembly for holding the semiconductor substrate and a dispense head for applying a cleaning material to clean the contaminants from the substrate surface. The dispense head extends across a length of the semiconductor substrate and is positioned proximate to the substrate surface at a distance of between about 0.1 mm and about 4.5 mm. The proximate position enables application of a force to the cleaning material as it is applied to the substrate surface as a film, and the cleaning material provided through the dispense head contains a cleaning liquid, a plurality of solid components, and polymers of a polymeric compound, each of the plurality of solid components and polymers being greater than zero and less than 3% of the cleaning material, the plurality of solid components and the polymers are dispersed for application through the dispense head.
    Type: Grant
    Filed: January 16, 2012
    Date of Patent: December 10, 2013
    Assignee: Lam Research Corporation
    Inventors: Ji Zhu, Arjun Mendiratta, David Mui
  • Patent number: 8603253
    Abstract: A method to preparing Cadmium telluride surface before forming metal back contact is disclosed. The method can include removing carbon from Cadmium telluride surface.
    Type: Grant
    Filed: September 10, 2010
    Date of Patent: December 10, 2013
    Assignee: First Solar, Inc.
    Inventors: Pratima V. Addepalli, John S. Deeken, Oleh P. Karpenko
  • Publication number: 20130303420
    Abstract: Cleaning compositions and processes for cleaning residue from a microelectronic device having said residue thereon. The composition comprises at least one amine, at least one oxidizing agent, water, and at least one borate species and achieves highly efficacious cleaning of the residue material, including post-ash residue, post-etch residue, post-CMP residue, particles, organic contaminants, metal ion contaminants, and combinations thereof from the microelectronic device while simultaneously not damaging the titanium nitride layers and low-k dielectric materials also present on the device.
    Type: Application
    Filed: October 13, 2011
    Publication date: November 14, 2013
    Applicants: INTERNATIONAL BUSINESS MACHINES CORPORATION, ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: Emanuel I. Cooper, George Gabriel Totir, Makonnen Payne
  • Publication number: 20130296215
    Abstract: The present invention relates to water-rich formulations and the method using same, to remove bulk photoresists, post-etched and post-ashed residues, residues from Al back-end-of-the-line interconnect structures, as well as contaminations. The formulation comprises: hydroxylamine; corrosion inhibitor containing a mixture of alkyl dihydroxybenzene and hydroxyquinoline; an alkanolamine, a water-soluble solvent or the combination of the two; and at least 50% by weight of water.
    Type: Application
    Filed: July 8, 2013
    Publication date: November 7, 2013
    Inventors: Madhukar Bhaskara RAO, Gautam BANERJEE, Thomas Michael Wieder, Yi-Chia LEE, Wen Dar LIU, Aiping WU
  • Publication number: 20130288436
    Abstract: Some embodiments relate to a manufacturing method for a semiconductor device. In this method, a semiconductor workpiece, which includes a metal gate electrode thereon, is provided. An opening is formed in the semiconductor workpiece to expose a surface of the metal gate. Formation of the opening leaves a polymeric residue on the workpiece. To remove the polymeric residue from the workpiece, a cleaning solution that includes an organic alkali component is used.
    Type: Application
    Filed: April 30, 2012
    Publication date: October 31, 2013
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chun-Li Chou, Shao-Yen Ku, Pei-Hung Chen, Jui-Ping Chuang
  • Publication number: 20130276837
    Abstract: Methods and chemical solvents used for cleaning residues on metal contacts during a semiconductor device packaging process are disclosed. A chemical solvent for cleaning a residue formed on a metal contact may comprise a reactive inorganic component and a reactive organic component. The method may comprise spraying a semiconductor device with a chemical solvent at a first pressure, and spraying the semiconductor device with the chemical solvent at a second pressure less than the first pressure.
    Type: Application
    Filed: August 29, 2012
    Publication date: October 24, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hui-Jung Tsai, Hung-Jui Kuo, Chung-Shi Liu
  • Patent number: 8563495
    Abstract: There are provided a resist remover composition containing an amide solvent (A) represented by the following formula (1) and an organic amine compound (B), and a method for removing a resist using the resist remover composition, i.e., a resist remover composition that provides a sufficient removing capability even in a state where a resist is dissolved therein and is capable of being used for a prolonged period of time, and a method for removing a resist using the same. wherein R1 represents a linear, branched or cyclic alkyl group having from 1 to 6 carbon atoms; R2 and R3 each independently represent a linear or branched alkyl group having from 1 to 3 carbon atoms; and n represents an integer of from 0 to 2.
    Type: Grant
    Filed: January 29, 2010
    Date of Patent: October 22, 2013
    Assignee: Idemitsu Kosan Co., Ltd.
    Inventors: Toyozo Fujioka, Hayato Yamasaki
  • Patent number: 8557757
    Abstract: A cleaning composition for cleaning microelectronic or nanoelectronic devices, the cleaning composition having HF as the sole acid and sole fluoride compound in the composition, at least one primary solvent selected from the group consisting of sulfones and selenones, at least one polyhydroxyl alkyl or aryl alcohol co-solvent having metal ion complexing or binding sites, and water, and optionally at least one phosphonic acid corrosion inhibitor compound and the is free of amines, bases and other salts.
    Type: Grant
    Filed: January 14, 2010
    Date of Patent: October 15, 2013
    Assignee: Avantor Performance Materials, Inc.
    Inventors: Chien-Pin S. Hsu, Glenn Westwood, William R. Gemmill
  • Patent number: 8551928
    Abstract: A multi-agent type cleaning kit for applying to semiconductor substrates, which contains a foaming agent having an alkylene carbonate and a carbonic acid salt, a foaming aid having an acidic compound, and an oxidizing agent; at least the foaming agent is mixed with the foaming aid upon using for the cleaning of a semiconductor substrate, in combination with the oxidizing agent.
    Type: Grant
    Filed: October 26, 2011
    Date of Patent: October 8, 2013
    Assignee: FUJIFILM Corporation
    Inventor: Tetsuya Kamimura
  • Patent number: 8551682
    Abstract: Resist stripping agents useful for fabricating circuits and/or forming electrodes on semiconductor devices for semiconductor integrated circuits and/or liquid crystals with reduced metal and metal alloy etch rates (particularly copper etch rates and TiW etch rates), are provided with methods for their use. The preferred stripping agents contain low concentrations of resorcinol or a resorcinol derivative, with or without an added copper salt, and with or without an added amine to improve solubility of the copper salt. Further provided are integrated circuit devices and electronic interconnect structures prepared according to these methods.
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: October 8, 2013
    Assignee: Dynaloy, LLC
    Inventors: John M. Atkinson, Kimberly Dona Pollard, Gene Goebel