Quality Control Patents (Class 700/109)
  • Patent number: 8712571
    Abstract: The present disclosure provides a system for fabricating a semiconductor device. The system includes a semiconductor fabrication tool. The semiconductor fabrication tool has an integrated inter interface that measures a first process parameter of the fabrication tool. The system also includes a wireless sensor. The wireless sensor is detachably coupled to the fabrication tool. The wireless sensor measures a second process parameter of the fabrication tool. The second process parameter is different from the first process parameter.
    Type: Grant
    Filed: November 10, 2009
    Date of Patent: April 29, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsu-Shui Liu, Jiun-Rong Pai, Yeh-Chieh Wang
  • Publication number: 20140108182
    Abstract: An aggregate processing plant for processing aggregate to a pre-determined specification within a particular gravel pit may include: a feed hopper, at least a first screen plant having a corresponding configuration and cooperating with the feed hopper, a first conveyor set cooperating with the first screen plant for conveying screened aggregate from the first screen plant, a first aggregate processing cooperating with the first conveyor set and downstream of the screen plant in a direction of flow of the screened aggregate on the first conveyor.
    Type: Application
    Filed: October 16, 2012
    Publication date: April 17, 2014
    Applicant: OKANAGAN QUALITY CONTROL LTD.
    Inventor: Adam Hoban
  • Publication number: 20140107824
    Abstract: The present invention generally relates to the monitoring and controlling of a semiconductor manufacturing environment and, more particularly, to methods and systems for virtual meteorology (VM) applications based on data from multiple tools having heterogeneous relatedness. The methods and systems leverage the natural relationship of the multiple tools and take advantage of the relationship embedded in process variables to improve the prediction performance of the VM predictive wafer quality modeling. The prediction results of the methods and systems can be used as a substitute for or in conjunction with actual metrology samples in order to monitor and control a semiconductor manufacturing environment, and thus reduce delays and costs associated with obtaining actual physical measurements.
    Type: Application
    Filed: November 15, 2012
    Publication date: April 17, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Yada Zhu, Jingrui He, Robert Jeffrey Baseman
  • Patent number: 8694929
    Abstract: A method and an apparatus for determining the position of a structure on a mask for microlithography, in which the position is determined by comparing an aerial image, measured by a recording device, of a portion of the mask with an aerial image determined by simulation. The position determination includes carrying out a plurality of such comparisons which differ from one another with regard to the input parameters of the simulation.
    Type: Grant
    Filed: July 6, 2012
    Date of Patent: April 8, 2014
    Assignees: Carl Zeiss SMT GmbH, Carl Zeiss SMS GmbH
    Inventors: Dirk Seidel, Michael Arnz
  • Patent number: 8686374
    Abstract: The present invention provides a drawing apparatus including a generation device configured to generate drawing data, a blanking device configured to blank a beam, and a blanking controller includes a first storage device, a second storage device, and a third storage device configured to respectively store the drawing data generated by the generation device, and being configured to control operations of the first storage device, the second storage device, and the third storage device so that an operation of storing the drawing data generated by the generation device in a selected one of the first storage device and the second storage device, and an operation of reading out the drawing data stored in the other of the first storage device and the second storage device, and storing the readout drawing data in the third storage device are executed in parallel.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: April 1, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventor: Shinji Ohishi
  • Publication number: 20140088747
    Abstract: Embodiments of the present invention relate to a method for a near non-adaptive virtual metrology for wafer processing control. In accordance with an embodiment of the present invention, a method for processing control comprises diagnosing a chamber of a processing tool that processes a wafer to identify a key chamber parameter, and controlling the chamber based on the key chamber parameter if the key chamber parameter can be controlled, or compensating a prediction model by changing to a secondary prediction model if the key chamber parameter cannot be sufficiently controlled.
    Type: Application
    Filed: April 25, 2013
    Publication date: March 27, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tzu-Yu Wang, Chen-Hua Yu, Chien Rhone Wang, Henry Lo, Jung Cheng Ko, Chih-Wei Lai, Kewei Zuo
  • Patent number: 8682465
    Abstract: A measurement method for thread parameters for a threaded object (3), by means of a measurement device (1) defining a spatial reference system (X, Y, Z) incorporating an optical sensor (5) to retrieve the shape of the threaded object, and defining a spatial reference system (X?, Y?, Z?), the measurement device (1) having a computer to assemble a first matrix that describes the quadratic form representing the threaded object in the spatial reference system (X, Y, Z), thus providing the relationship between the two spatial reference systems.
    Type: Grant
    Filed: December 3, 2009
    Date of Patent: March 25, 2014
    Assignee: Tenaris Connections Limited
    Inventors: Nicolás Hernán Bonadeo, Sebastián Berra, Javier Ignacio Etcheverry
  • Patent number: 8682466
    Abstract: A method to enable wafer result prediction includes collecting manufacturing data from various semiconductor manufacturing tools and metrology tools; choosing key parameters using an autokey method based on the manufacturing data; building a virtual metrology based on the key parameters; and predicting wafer results using the virtual metrology.
    Type: Grant
    Filed: February 5, 2008
    Date of Patent: March 25, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Francis Ko, Chih-Wei Lai, Kewei Zuo, Henry Lo, Jean Wang, Ping-Hsu Chen, Chun-Hsien Lim, Chen-Hua Yu
  • Patent number: 8676356
    Abstract: A system and method for determining unknown values of certain motor parameters includes a motor input device connectable to an electric motor having associated therewith values for known motor parameters and an unknown value of at least one motor parameter. The motor input device includes a processing unit that receives a first input from the electric motor comprising values for the known motor parameters for the electric motor and receive a second input comprising motor data on a plurality of reference motors, including values for motor parameters corresponding to the known motor parameters of the electric motor and values for motor parameters corresponding to the at least one unknown motor parameter value of the electric motor. The processor determines the unknown value of the at least one motor parameter from the first input and the second input and determines a motor management strategy for the electric motor based thereon.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: March 18, 2014
    Assignee: Eaton Corporation
    Inventors: Bin Lu, Ting Yan
  • Patent number: 8676369
    Abstract: A method for monitoring a production process with regard to quality is disclosed. The method includes: a) breaking down the production process into a sequence of individual steps, defined by a sequence of control commands for a production program for carrying out the production process on a machine tool; b) generating at least one signal template per individual step of the production process from the control commands for the individual steps, each signal template corresponding to a theoretical signal curve for a parameter which may be measured upon executing the production process; c) measurement of a signal curve for at least one parameter per individual step during the execution; and d) comparison of the or each measured signal curve with the respective arithmetically generated signal template, wherein a qualitatively poor production process is determined if the measured signal curve deviates from the signal template by more than a given tolerance.
    Type: Grant
    Filed: August 4, 2007
    Date of Patent: March 18, 2014
    Assignee: MTU Aero Engines GmbH
    Inventors: Martin Eckstein, Guenter Breitkopf
  • Patent number: 8666530
    Abstract: An etching control system controls exposure of a silicon workpiece to a spontaneous etchant. The system determines an amount of material to be removed from the silicon workpiece, based on metrology information corresponding to the silicon workpiece. An estimated etch time duration is determined for removing the amount of the material upon exposing the silicon workpiece to the spontaneous etchant for the estimated etch time duration. In some embodiments, the system monitors a change in mass of the silicon workpiece caused by exposure of the silicon workpiece to the spontaneous etchant to determine when the amount of the material has been removed from the silicon workpiece. Exposure of the silicon workpiece to the spontaneous etchant is stopped when the change in the mass of the silicon workpiece indicates that the amount of the material has been removed.
    Type: Grant
    Filed: December 16, 2010
    Date of Patent: March 4, 2014
    Assignee: Electro Scientific Industries, Inc.
    Inventors: Daragh Seosamh Finn, Andrew Edwin Hooper, A. Grey Lerner
  • Patent number: 8667428
    Abstract: In an exemplary embodiment, a method of fabricating an integrated circuit includes designing an optical photomask for forming a pre-pattern opening in a photoresist layer on a semiconductor substrate, wherein the photoresist layer and the pre-pattern opening are coated with a self-assembly material that undergoes directed self-assembly (DSA) to form a DSA pattern. The step of designing the optical photomask includes using a computing system, inputting a DSA target pattern, and using the computing system, applying a DSA model to the DSA target pattern to generate a first DSA directing pattern. Further, the step of designing the optical photomask includes using the computing system, calculating a residual between the DSA target pattern and the DSA directing pattern, and using the computing system, applying the DSA model to the first DSA directing pattern and the residual to generate a second, updated DSA directing pattern.
    Type: Grant
    Filed: October 24, 2012
    Date of Patent: March 4, 2014
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventor: Azat Latypov
  • Patent number: 8667430
    Abstract: A method of fabricating an integrated circuit includes designing an optical photomask for forming a pre-pattern opening in a photoresist layer on a semiconductor substrate, wherein the photoresist layer and the pre-pattern opening are coated with a self-assembly material that undergoes directed self-assembly (DSA) to form a DSA pattern. Designing the optical photomask includes using a computing system, inputting a DSA target pattern, and using the computing system, applying a DSA model to the DSA target pattern to generate a first DSA directing pattern. Further, the step of designing the optical photomask includes using the computing system, calculating a residual between the DSA target pattern and the DSA directing pattern, and using the computing system, applying the DSA model to the first DSA directing pattern and the residual to generate a second, updated DSA directing pattern. Generating the second, updated DSA directing pattern includes linearizing a self-consistent field theory equation.
    Type: Grant
    Filed: February 22, 2013
    Date of Patent: March 4, 2014
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventor: Azat Latypov
  • Patent number: 8666532
    Abstract: A method, system and computer program product for controlling a manufacturing process of an electronic circuit, the method includes: calculating at least one layer misalignment between layers of an electrical circuit that are expected to be mutually aligned; wherein the layers are manufactured by at least a direct imaging device that exposes a photo-resistive material to radiation to provide a pattern; selecting, in response to the at least one layer misalignment and in response to at least one allowable misalignment threshold, a selected response out of: manufacturing at least one additional layer of the electrical circuit; and stopping the manufacturing process of the electrical circuit; and participating in executing the selected response.
    Type: Grant
    Filed: July 22, 2008
    Date of Patent: March 4, 2014
    Assignee: Camtek Ltd.
    Inventor: Rafi Amit
  • Patent number: 8660878
    Abstract: A computer implemented method, system, and/or computer program product assigns work to a software factory for implementing a project. A project model of a project is generated. Project model subcomponents are mapped to work packets that are available to a software factory, thus leading to the generation of a work plan for performing the project via an execution of the available work packets.
    Type: Grant
    Filed: June 15, 2011
    Date of Patent: February 25, 2014
    Assignee: International Business Machines Corporation
    Inventors: Fausto Bernardini, Jarir K. Chaar, Yi-Min Chee, Krishna C. Ratakonda
  • Patent number: 8655470
    Abstract: A computer implemented method for evaluating quality control data of a product manufactured by a partially automated manufacturing process. In the method, a database is generated which includes design specifications for the product. Manufacturing data generated from inspection of the product at each stage of the partially automated process is then received. The manufacturing data is then compared with the design specifications to determine whether the manufacturing data meets the design specifications. In one embodiment, the product is an induction coil used in electric motors.
    Type: Grant
    Filed: January 7, 2011
    Date of Patent: February 18, 2014
    Assignee: Siemens Industry, Inc.
    Inventors: Nicholas G. Lang, Sumit Singhal
  • Publication number: 20140046470
    Abstract: Only a wafer for QC check may be transferred and a production wafer may prevent from being transferred into an assigned process chamber whose QC check is not completed after a maintenance task, and the production wafer may be processed the assigned process chamber after the completion of the QC check. The wafer for QC check is transferred while inhibiting a transfer of the production wafer into the assigned process chamber, and the production wafer is transferred into each of the process chambers of the plurality except the assigned process chamber.
    Type: Application
    Filed: October 17, 2013
    Publication date: February 13, 2014
    Applicant: Hitachi Kokusai Electric Inc.
    Inventor: Makoto NOMURA
  • Publication number: 20140039660
    Abstract: Methods, systems, and apparatus for spectrographic monitoring of a substrate during chemical mechanical polishing are described. In one aspect, a computer-implemented method includes storing a library having a plurality of reference spectra, each reference spectrum of the plurality of reference spectra having a stored associated index value, measuring a sequence of spectra in-situ during polishing to obtain measured spectra, for each measured spectrum of the sequence of spectra, finding a best matching reference spectrum to generate a sequence of best matching reference spectra, determining the associated index value for each best matching spectrum from the sequence of best matching reference spectra to generate a sequence of index values, fitting a linear function to the sequence of index values, and halting the polishing either when the linear function matches or exceeds a target index or when the associated index value from the determining step matches or exceeds the target index.
    Type: Application
    Filed: October 4, 2013
    Publication date: February 6, 2014
    Applicant: Applied Materials, Inc.
    Inventors: Jeffrey Drue David, Dominic J. Benvegnu, Harry Q. Lee, Boguslaw A. Swedek, Lakshmanan Karuppiah
  • Patent number: 8639377
    Abstract: Methods of determining thickness and phase of a GST layer on a semiconductor substrate are described using intensity spectra within the infra-red range. In particular, techniques for using certain transmission at certain frequencies are disclosed for faster thickness and phase determination in an in-line or standalone metrology/monitoring system for CMP processes.
    Type: Grant
    Filed: November 7, 2008
    Date of Patent: January 28, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Kun Xu, Feng Q Liu, Yuchun Wang, Abraham Ravid, Wen-Chiang Tu
  • Patent number: 8639367
    Abstract: Provided is a substrate processing system, which comprises a plurality of substrate processing apparatuses configured to process a substrate; and a group management apparatus configured to connect and manage the plurality of substrate processing apparatuses, wherein the group management apparatus includes: a communication part configured to transmit and receive data to and from the plurality of substrate processing apparatuses; a first storage part configured to store the data transmitted through the communication part from the plurality of substrate processing apparatuses; a second storage part configured to store a file prescribing a condition for determining an abnormality of the plurality of substrate processing apparatuses from the data; a display part including a manipulation screen for inputting the condition for determining the abnormality using the data stored in the first storage part or the file stored in the second storage part; a screen control part configured to control the display part to chang
    Type: Grant
    Filed: September 1, 2010
    Date of Patent: January 28, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Kazuhide Asai
  • Patent number: 8630728
    Abstract: A system and method for generating indices to quantify operating transition performance of a continuous process, such as a sheet forming process, includes a computer system that is configured to generate a normalized overall transition performance index. The overall transition performance index is formed from a plurality of individual parameter indices associated with specific production components or portions of the process line. As such, the individual parameter indices allow a user of the system to readily identify the particular portion of the continuous process line that is performing below desired levels. In addition, because the overall transition performance index is normalized, it enables comparison of the operating transition performance of multiple process lines.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: January 14, 2014
    Assignee: ABB Technology AG
    Inventors: Timothy F. Murphy, Kevin Starr, Timothy A. Mast
  • Patent number: 8620468
    Abstract: A computing device develops a first non-adaptive virtual metrology (VM) model for a manufacturing process based on performing a non-adaptive regression using a first data set. Upon determining that an accuracy of the first non-adaptive VM model satisfies a first quality criterion, the computing device develops an adaptive VM model for the manufacturing process based on performing an adaptive regression using at least one of the first data set or a second data set. The computing device evaluates an accuracy of the adaptive VM model using a third data set that is larger than the first data set and the second data set. The computing device determines that the adaptive VM model is ready for use in production upon determining that an accuracy of the first adaptive VM model satisfies a second quality criterion that is more stringent than the first quality criterion.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: December 31, 2013
    Assignee: Applied Materials, Inc.
    Inventor: James Moyne
  • Patent number: 8618497
    Abstract: The present invention provides a drawing apparatus including a plurality of drawing units each of which is configured to perform drawing on a substrate with a charged particle beam, a plurality of first processors configured to be selectively connectable to each of the plurality of drawing units, an information processor configured to determine, from the plurality of first processors, a first processor to be connected to a first drawing unit among the plurality of drawing units, based on drawing data, and a connection unit configured to connect the determined first processor to the first drawing unit.
    Type: Grant
    Filed: January 4, 2013
    Date of Patent: December 31, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventors: Shinji Ohishi, Tomoyuki Morita
  • Patent number: 8620776
    Abstract: Disclosed are various embodiments of a self healing andon system. One embodiment of the present disclosure includes a system comprising at least one server. The system further includes logic executed on the at least one server that retrieves a defect history associated with a vendor and at least one product from an inbound shipment from a memory. The at least one product is stocked in a materials handling facility, and the defect history is associated with a specified time period. The at least one server extracts at least one inventory defect from the defect history in a computer system and calculates an inventory defect rate. The at least one server imposes remedial measures if the inventory defect rate exceeds a specified threshold of the inventory defect rate.
    Type: Grant
    Filed: June 4, 2012
    Date of Patent: December 31, 2013
    Assignee: Amazon Technologies
    Inventors: Devesh Mishra, Eric C. Young, Sameer Vinod Shah, Timothy Jesse Tien, Jun Zhao
  • Patent number: 8615318
    Abstract: A method of process control including constraining a tolerance ring relative to one of an inner and an outer component. The tolerance ring including an annular band of resilient material having a plurality of projections projecting radially therefrom. Additionally, the method includes moving the other of the inner or outer component with respect to the constrained tolerance ring so as to compress the projections of the tolerance ring between the inner and outer components, and continuing movement of the inner or outer component, respectively, beyond the projections. The method further including measuring a force required for continuing movement, and using the force for process control.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: December 24, 2013
    Assignee: Saint-Gobain Performance Plastics Rencol Limited
    Inventor: Gino Bancalari
  • Patent number: 8612043
    Abstract: A yield prediction is received by a run-to-run controller that includes an intra-process run-to-run control module that specifies process performance targets, wherein the yield prediction is associated with at least one of a manufacturing tool, a product or a process. The run-to-run control module adjusts first parameters associated with intra-process run-to-run control based on the yield prediction, wherein the first parameters include processing parameters of a process recipe.
    Type: Grant
    Filed: January 6, 2011
    Date of Patent: December 17, 2013
    Assignee: Applied Materials, Inc.
    Inventors: James Moyne, Nicholas Ward, Richard Stafford
  • Publication number: 20130317639
    Abstract: A machine such as an industrial robot operates either in a stand-alone or in-production mode to perform a number of tests on a battery object having one of several different assembly levels and packaging geometries. The machine has selectable testing programs that correspond to various combinations of object assembly levels and geometries. The machine performs the tests either by coming into contact with a predetermined location on the conductive material of the object or viewing that location. The test results are analyzed to determining if retesting is necessary. After all of the tests are completed on an object, the tested object is assigned a grade and then sorted by grade. The tested objects may be kept at the machine location or sent on for further processing based on the assigned grade. After the testing is completed on one object, the machine tests the next object to be tested.
    Type: Application
    Filed: December 14, 2011
    Publication date: November 28, 2013
    Applicant: ABB TECHNOLOGY AG
    Inventors: Sangeun Choi, Srinivas Nidamarthi, Michael M. Rickin
  • Patent number: 8594963
    Abstract: A method of predicting product yield may include determining defect characteristics for a product based at least in part on inspection data associated with critical layers of the product, determining yield loss for each of the critical layers, and estimating product yield based on the determined yield loss of the critical layers. A corresponding apparatus is also provided.
    Type: Grant
    Filed: September 1, 2010
    Date of Patent: November 26, 2013
    Assignee: Macronix International Co., Ltd.
    Inventors: Hsiang-Chou Liao, Che-Lun Hung, Tuung Luoh, Ling-Wuu Yang, Ta-Hone Yang, Kuang-Chao Chen
  • Patent number: 8594822
    Abstract: Electronic supervision may be provided. First, a stock number may be sent to a database server. The stock number may correspond to an electrical cable. In response to sending the database server the stock number, specification information corresponding to the product may be received from a database stored on the database server. The specification information may be, for an electrical cable, a number of wires, a weight per thousand feet, and a diameter. Next, product production may be monitored to determine faults occurring during production. Monitoring the production may include displaying a data monitoring screen to production personnel. The data monitoring screen may provide data regarding the product and product comparison against a standard maintained within the database for the product. Fault data corresponding to the determined faults occurring during the production may be saved to the database.
    Type: Grant
    Filed: December 1, 2011
    Date of Patent: November 26, 2013
    Assignee: Southwire Company
    Inventors: Jackie McGuinn, Tom Stephens, Steve Wilson, Stephen Logan, Mike Crumpler, Alon Stewart, Hugh Butler
  • Patent number: 8591811
    Abstract: Methods of monitoring acceptance criteria of pharmaceutical manufacturing processes are disclosed herein. The methods described herein provide an ability to control and monitor pharmaceutical manufacturing processes (for example, finishing and packaging of pharmaceuticals) and can ensure data and product integrity and ultimately minimize overall manufacturing cost.
    Type: Grant
    Filed: March 18, 2013
    Date of Patent: November 26, 2013
    Assignee: SMP Logic Systems LLC
    Inventor: Shane M. Popp
  • Patent number: 8594821
    Abstract: A system, a method and a computer program product for identifying incompatible manufacturing tools. The system receives measurements of products that were subject to a manufacturing process involving a plurality of manufacturing tools. The measurements pertain to a performance characteristic of each product. The system evaluates whether each manufacturing tool implemented in a sequential manufacturing process individually performs normally based on the received measurements. In response to evaluating each manufacturing tool implemented in said manufacturing process individually performs normally, the system evaluates whether a first combination of the manufacturing tools together in sequential manufacturing process perform normally based on the received measurements.
    Type: Grant
    Filed: February 18, 2011
    Date of Patent: November 26, 2013
    Assignee: International Business Machines Corporation
    Inventors: Robert J. Baseman, Fateh A. Tipu, Sholom M. Weiss
  • Publication number: 20130304245
    Abstract: Methods, systems, and software for improving the product quality of a production line having multiple production steps, at least some of which employ multiple individual tools, including producing product lots using different combinations of tools, setting a quality benchmark for the resulting product lots, identifying product lots that meet the quality benchmark, identifying the tools used to produce the identified quality product lots, and producing additional product lots using at least substantially the identified quality tools.
    Type: Application
    Filed: May 8, 2012
    Publication date: November 14, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Mark T. Lam, Ooi T. Ong
  • Patent number: 8583433
    Abstract: A system and method for efficiently transcribing verbal messages to text is provided. Verbal messages are received and at least one of the verbal messages is divided into segments. Automatically recognized text is determined for each of the segments by performing speech recognition and a confidence rating is assigned to the automatically recognized text for each segment. A threshold is applied to the confidence ratings and those segments with confidence ratings that fall below the threshold are identified. The segments that fall below the threshold are assigned to one or more human agents starting with those segments that have the lowest confidence ratings. Transcription from the human agents is received for the segments assigned to that agent. The transcription is assembled with the automatically recognized text of the segments not assigned to the human agents as a text message for the at least one verbal message.
    Type: Grant
    Filed: August 6, 2012
    Date of Patent: November 12, 2013
    Assignee: Intellisist, Inc.
    Inventors: Mike O. Webb, Bruce J. Peterson, Janet S. Kaseda
  • Publication number: 20130282159
    Abstract: The present invention provides a tablet-inspecting device in which the control unit gives, to the continuous drug packet-driving unit, operation instructions to move the continuous drug packet from an upstream side to a downstream side of the conveyor path, and reciprocating operation instructions to alternately move the continuous drug packet to the upstream side and the downstream side of the conveyor path, the control unit gives, to the rod control unit, rod unit pressing operation instructions to press the rod unit against the side portion of the drug packet, and when the control unit gives the reciprocating operation instructions, the rod unit pressing operation instructions has been given, it is possible to enhance determining precision of the number of tablets or the kinds of tablet, and to stably inspect drugs.
    Type: Application
    Filed: June 17, 2013
    Publication date: October 24, 2013
    Inventors: Junichiro MORIOKA, Hiroshi EHARA, Toshiaki UETA, Yoichi OKI, Akiji TANAKA, Shinichi YASUI
  • Patent number: 8554351
    Abstract: Methods, systems, and apparatus for spectrographic monitoring of a substrate during chemical mechanical polishing are described. In one aspect, a computer-implemented method includes storing a library having a plurality of reference spectra, each reference spectrum of the plurality of reference spectra having a stored associated index value, measuring a sequence of spectra in-situ during polishing to obtain measured spectra, for each measured spectrum of the sequence of spectra, finding a best matching reference spectrum to generate a sequence of best matching reference spectra, determining the associated index value for each best matching spectrum from the sequence of best matching reference spectra to generate a sequence of index values, fitting a linear function to the sequence of index values, and halting the polishing either when the linear function matches or exceeds a target index or when the associated index value from the determining step matches or exceeds the target index.
    Type: Grant
    Filed: August 30, 2012
    Date of Patent: October 8, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Jeffrey Drue David, Dominic J. Benvegnu, Harry Q. Lee, Boguslaw A. Swedek, Lakshmanan Karuppiah
  • Patent number: 8548842
    Abstract: Systems, methods, and computer program products are provided for a quantifiable assessment of the delivery effectiveness of Quality Function Deployment (QFD) planning designs. In this regard, present embodiments provide quantifiable metrics that help a key stakeholder, such as a product or service provider, determine the level of success for each design requirement or Critical-To-Quality (CTQ) attribute defined in the QFD design process. Thus, based on presently described embodiments, the stakeholder can assess how well the deployment of their product or service met the original defined CTQs and/or design requirements and which CTQs and which design requirements related to those CTQs should garner further attention to ensure that the CTQ meets its objective, which in turn allows for the opportunity for the highest possible customer satisfaction with the new or re-designed product, process or service.
    Type: Grant
    Filed: January 7, 2009
    Date of Patent: October 1, 2013
    Assignee: Bank of America Corporation
    Inventor: John Frederick Race
  • Patent number: 8532808
    Abstract: A computer system for use with a building management system in a building includes a processing circuit configured to use historical data received from the building management system to automatically select a set of variables estimated to be significant to energy usage in the building. The processing circuit is further configured to apply a regression analysis to the selected set of variables to generate a baseline model for predicting energy usage in the building.
    Type: Grant
    Filed: February 8, 2011
    Date of Patent: September 10, 2013
    Assignee: Johnson Controls Technology Company
    Inventors: Kirk H. Drees, Michael J. Wenzel
  • Patent number: 8532839
    Abstract: A building management strategy includes using exponentially weighted moving averages with statistical models to detect changes in the behavior of the building management system. Detected changes in the behavior of the system may indicate a detected fault, a change in a predicted behavior, or a need for the statistical models to be updated.
    Type: Grant
    Filed: November 18, 2010
    Date of Patent: September 10, 2013
    Assignee: Johnson Controls Technology Company
    Inventors: Kirk H. Drees, James P. Kummer
  • Patent number: 8515569
    Abstract: The content of an operating instruction to each worker in a manufacturing process is controlled in the following way based on a manufacturing direction to the worker and on manufacturing achievement, work proficiency, and the like of the worker for the manufacturing direction. A deviation between a manufacturing direction and manufacturing achievement is calculated. Manufacturing direction parameters acting as factors of the calculated deviation are specified for each product to be manufactured. The above information is stored in a deviation factor database. For a new manufacturing direction, manufacturing direction parameters therein are checked against the deviation factor database to determine alarm information to be given to a worker on a manufacturing line, and the determined alarm information is outputted.
    Type: Grant
    Filed: May 26, 2010
    Date of Patent: August 20, 2013
    Assignee: Hitachi, Ltd.
    Inventors: Shinichirou Hanawa, Hitomi Arai
  • Patent number: 8515568
    Abstract: Disclosed are apparatus and methods for monitoring an operation parameter of a process tool, independently of a process system recipe, are provided. In one embodiment, the behavior of a process device as it transitions between different states is monitored for a single cycle of operation or over time to detect trends that indicate a potential failure of the process device. When a trend that indicates a potential failure is detected, an alarm is generated. In one implementation, the time for reaching a particular stage of operation may be repeatedly monitored over a plurality of device cycles. For example, the time to open a valve or door may be monitored. In another example, the time for reaching a stable phase of gas flow after a ramping stage has commenced is monitored. When the time for reaching a particular stage begins to decline by a predetermined amount, an alarm may be generated.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: August 20, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Jeffery William Achtnig, Russell Fleming, Jaideep Jain
  • Patent number: 8513603
    Abstract: A method utilizing characteristic x-ray emission from a single thin film or multilayer thin film when an electron beam impinges at a grazing angle with respect to the surface of the sample to capture structural and physical properties of the layers such as layer thickness, interfacial roughness, and stoichiometry of the sample.
    Type: Grant
    Filed: May 12, 2011
    Date of Patent: August 20, 2013
    Assignee: West Virginia University
    Inventors: David Lederman, Thomas Hubbard Myers, II, Sandeep Chandril
  • Patent number: 8515570
    Abstract: The invention provides a system for sensing a cigarette filter, comprising: a plurality of rotary conveyance drums (12) delivering a first cigarette filter and second cigarette filters into which the first cigarette filter is divided by a cutter; two sensors (18) disposed adjacent to the rotary conveyance drum (12) to detect the second cigarette filters; an opto coupler connected to the two sensors (18) and operated by AND logic; a HIP connected to the opto coupler, it controlling a device of supplying cigarette filters to reject a cigarette having defects; and, a PLC connected with the HIP, it controlling the device of supplying cigarette filters, wherein the two sensors (18) detect active charcoal filters located at both ends of the second cigarette filters, the HIP controls the device such that the cigarette having defects are rejected when signals sensed by the sensors (18) are different from signals sensed from the normal active charcoal filter, the PLC controls the operation and stop of the device by pe
    Type: Grant
    Filed: August 24, 2006
    Date of Patent: August 20, 2013
    Assignee: British American Tobacco Korea Limited
    Inventor: Jong Myung Lee
  • Patent number: 8498731
    Abstract: Provided are a process-parameter prognostic system for predicting the shape of a semiconductor structure, a semiconductor fabrication apparatus having the process-parameter prognostic system, and a method of using the same. The process-parameter prognostic system may have a process prediction unit and a process-change point corresponding unit. The process prediction unit and the process-change point corresponding unit may obtain predicted parameters using measured parameters of semiconductor structures and sensor parameters of plasmas corresponding to the semiconductor structures.
    Type: Grant
    Filed: August 11, 2011
    Date of Patent: July 30, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kye-Hyun Baek, Yoon-Jae Kim, Yong-Jin Kim
  • Patent number: 8498729
    Abstract: Manufacturing execution systems and methods thereof used to monitor and execute a baby formula manufacturing process are disclosed herein. Consequently, the methods and systems provide a means to perform validation and quality manufacturing on an integrated level whereby baby formula manufacturers can achieve data and product integrity and ultimately minimize cost.
    Type: Grant
    Filed: August 25, 2009
    Date of Patent: July 30, 2013
    Assignee: SMP Logic Systems LLC
    Inventor: Shane M. Popp
  • Patent number: 8491839
    Abstract: Manufacturing execution systems (MES) are disclosed herein. The MES and methods described herein provide an ability to control and monitor manufacturing processes (for example, chemical and pharmaceutical) and can ensure data and product integrity and ultimately minimize overall manufacturing cost.
    Type: Grant
    Filed: April 15, 2010
    Date of Patent: July 23, 2013
    Assignee: SMP Logic Systems, LLC
    Inventor: Shane M. Popp
  • Publication number: 20130184847
    Abstract: A system for enabling and disabling operation of manufacturing machines provides a manufacturing machine user interface that facilitates receiving quality control information regarding the manufacturing machine from a user. For quality assurance purposes, the computer system of the manufacturing machine may decide whether to enable operation of the manufacturing machine based on the received quality control information. The computer system of the manufacturing machine may also decide to disable operation of the manufacturing machine if the quality control information provided is incomplete, out of date, or otherwise insufficient to indicate the manufacturing machine is ready for safe and effective operation.
    Type: Application
    Filed: January 17, 2012
    Publication date: July 18, 2013
    Applicant: Echostar Technologies L.L.C.
    Inventors: Jason Fruh, George M. Hansen
  • Patent number: 8489219
    Abstract: Disclosed is an improved buffering method for controlling excess fiber length (EFL) and reducing post-extrusion shrinkage in loose buffer tubes. In this way, the method yields first-quality loose buffer tubes not only during steady-state operation but also during ramping, thereby increasing production efficiency and reducing waste.
    Type: Grant
    Filed: January 29, 2010
    Date of Patent: July 16, 2013
    Assignee: Draka Comteq B.V.
    Inventors: Patrick King Strong, Freddy Eugene Lindsey, Jeffrey Scott Barker, William Mark Smartt
  • Patent number: 8483860
    Abstract: The present application relates to a technique of calculating an environmental load amount of each product produced on a production line including types of processing apparatuses. Power consumption required for producing a product is obtained more accurately and in an earlier stage. Power consumption required for producing each product is obtained by using the power consumption for each product with respect to each apparatus.
    Type: Grant
    Filed: July 31, 2009
    Date of Patent: July 9, 2013
    Assignee: Hitachi, Ltd.
    Inventors: Tomohiko Akahori, Osamu Namikawa
  • Patent number: 8467978
    Abstract: A method and apparatus for inspecting a surface of an object. Data from measuring the surface of the object is obtained to form surface data for the object. A range of frequencies for features on the object is selected based on a range of distances between adjacent peaks for the features. The features are formed by a tool moving along a number of paths. Desired surface data for the features is obtained from the surface data using the range of frequencies selected. A determination is made as to whether the desired surface data for the features meets a policy specifying a desired surface for the object. In response to an absence of a determination that the desired surface data for the features meets the policy, the object is reworked.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: June 18, 2013
    Assignee: The Boeing Company
    Inventors: Michael David Huffman, Andrew James Booker, Thomas A. Hogan, Alan K. Jones, Bruce C. Andrews
  • Publication number: 20130150996
    Abstract: A machine for use in assembling a vehicle is described herein. The vehicle includes at least a first component and a second component adapted to be coupled to the first component to form a component assembly. The machine includes at least one component tooling apparatus that is configured to selectively adjust an orientation of the second component with respect to the first component. A control system is coupled to the at least one component tooling apparatus. The control system includes a processor that is configured to receive a unique vehicle identifier associated with the component assembly, and determine a design orientation of the second component with respect to the first component based at least in part on the received unique vehicle identifier.
    Type: Application
    Filed: December 8, 2011
    Publication date: June 13, 2013
    Applicant: HONDA MOTOR CO., LTD.
    Inventors: Joseph Xavier, Greg Camp, George Branch