Quality Control Patents (Class 700/109)
  • Patent number: 8463422
    Abstract: An extruder or injection molding machine with user-specifically monitoring and regulating processing of plastic materials includes a material feed, a plasticizer, and a mold. A process input variable, e.g. cost of the apparatus, raw material, power, and processed quantity, can be inputted with an input device and transmitted to a controller. A process monitoring variable, e.g. the duration of a product cycle, product cost, raw material used/power consumed per product cycle, expected purchase price for a given quantity of a product, and number of product cycles until maintenance, can be determined in real time from a process control variable and/or process input variable. A value of a process monitoring variable, such as the actual desired, or mean value, the value integrated since starting, the history or tendency of this value, can be outputted on an output device in form of a characteristic diagram.
    Type: Grant
    Filed: June 26, 2007
    Date of Patent: June 11, 2013
    Assignee: KraussMaffei Technologies GmbH
    Inventors: Markus Betsche, Günther Grimm, Arno Wyrwoll
  • Patent number: 8452439
    Abstract: A method comprises computing respective regression models for each of a plurality of failure bins based on a plurality of failures identified during wafer electrical tests. Each regression model outputs a wafer yield measure as a function of a plurality of device performance variables. For each failure bin, sensitivity of the wafer yield measure to each of the plurality of device performance variables is determined, and the device performance variables are ranked with respect to sensitivity of the wafer yield measure. A subset of the device performance variables which have highest rankings and which have less than a threshold correlation with each other are selected. The wafer yield measures for each failure bin corresponding to one of the selected subset of device performance variables are combined, to provide a combined wafer yield measure. At least one new process parameter value is selected to effect a change in the one device performance variable, based on the combined wafer yield measure.
    Type: Grant
    Filed: March 15, 2011
    Date of Patent: May 28, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Sunny Wu, Chun-Hsien Lin, Kun-Ming Chen, Dung-Yian Hsieh, Hui-Ru Lin, Jo Fei Wang, Jong-I Mou, I-Ching Chu
  • Patent number: 8442673
    Abstract: The invention relates method for controlling a machine for treating containers (12) as well as for controlling processing and/or treatment stations located downstream from it, wherein the machine has a plurality of turntables (42) that are each driven by a motor and that serve to orient and/or position the containers (12), in which method the motor drives (44) of the turntables (42) are each monitored separately, so that, if an error occurs with one or more of the turntable drives (44), the affected defective turntable drives (44) are deactivated while the machine and/or the stations located downstream continue to be operated.
    Type: Grant
    Filed: December 3, 2009
    Date of Patent: May 14, 2013
    Assignee: Krones AG
    Inventors: Martin Langosch, Joerg Triebel
  • Patent number: 8437870
    Abstract: System and method for implementing a VM APC platform are described. In one embodiment, the VM APC system comprises a process tool for processing a plurality of wafers, a metrology tool for measuring a sample wafer of the plurality of wafers and generating actual metrology data therefor, and a VM model for predicting metrology data for each of the plurality of wafers. The actual metrology data is received from the metrology tool and used to update the VM model. Key variables of the virtual metrology model are updated only in response to a determination that the VM model is inaccurate and parameters of the VM model are updated responsive to receipt of the actual metrology data for the sample wafer of the plurality of wafers. The system also includes an APC controller for receiving the predicted metrology data and the actual metrology data and controlling an operation of the process tool based on the received data.
    Type: Grant
    Filed: June 5, 2009
    Date of Patent: May 7, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Po-Feng Tsai, Andy Tsen, Jin-Ning Sung
  • Patent number: 8421803
    Abstract: This invention facilitates monitoring operation for checking whether or not quality of a substrate deteriorates as well as operation for identifying a cause of deterioration in quality. Identification information of constituent elements related to measurement target sections (pads) on a component-mounted substrate is arranged into hierarchal structure data. A first axis is arranged with the measurement target sections associated with this arrangement. A second axis is arranged with information (identification information of lots and squeegees) representing production conditions of the substrates according to an order of the substrates being processed. A two-dimensional area defined by the first axis and the second axis is set. A color map is generated, in which measured data of the measurement target sections on the substrates are arranged in colors at corresponding positions within the two-dimensional area.
    Type: Grant
    Filed: January 22, 2010
    Date of Patent: April 16, 2013
    Assignee: Omron Corporation
    Inventors: Kazuto Kojitani, Keiji Otaka, Hiroyuki Mori
  • Patent number: 8417372
    Abstract: The present disclosure includes a method for optimizing value of current wood products dried in one or more current drying processes and associated computer software. The method comprises the steps of obtaining prior wood product data for prior wood products dried in one or more prior drying processes and generating a value function based on the prior wood product data. The method further includes identifying one or more sources of variability in the prior wood product data and quantifying a contribution to overall variability from each of the sources. The value function and the contributions to overall variability may be used to quantify one or more value opportunities associated with each the one or more sources, each value opportunity being associated with one or more executable steps for improving the one or more current drying processes.
    Type: Grant
    Filed: October 27, 2010
    Date of Patent: April 9, 2013
    Assignee: Weyerhaeuser NR Company
    Inventor: Mark A. Stanish
  • Publication number: 20130083457
    Abstract: Systems and methods for manufacturing a display panel or other patterned device using outer resistive trace(s) patterned on the display panel or other patterned device are provided. Such a system, for example, may include resistance detection circuitry, a grinder, and data processing circuitry. The resistance detection circuitry may detect a resistance of a resistive trace disposed around a display panel. The grinder may grind a first edge of the display panel such that at least part of the resistive trace is grinded away as the first edge of the display panel is grinded. The data processing circuitry may control the grinder to stop grinding the first edge of the display panel when the resistance of the at least one resistive trace increases to a particular resistance value.
    Type: Application
    Filed: November 30, 2011
    Publication date: April 4, 2013
    Applicant: APPLE INC.
    Inventors: Joshua Grey Wurzel, Yafei Bi, Wei H. Yao
  • Patent number: 8400309
    Abstract: A system and method of monitoring compliance with a hygiene protocol is disclosed. The movement of person within or otherwise associated with a facility may be tracked and hygiene requirements may be imposed based on the movement. In monitoring hygiene compliance, a number of hygiene levels may be defined that are associated with difference hygiene requirements. A hygiene level may be associated with an individual and that level may be raised or lowered based on hygiene related activity associated with the individual. An individual's hygiene level and/or his compliance with multi-level hygiene requirements may be tracked through the use of monitored hygiene stations and/or location tracking stations.
    Type: Grant
    Filed: April 29, 2009
    Date of Patent: March 19, 2013
    Assignee: Resurgent Health & Medical, LLC
    Inventors: James Glenn, Paul R. Barnhill, Timothy Prodanovich, Thomas M. Johannsen, Stephan Jerome Heim, Douglas W. Swartz
  • Patent number: 8402082
    Abstract: A maintenance information management method comprising steps of: by a terminal processing apparatus, transmitting, to a management apparatus via a network, maintenance work information about an analyzer on which maintenance work has been performed; storing, in a maintenance work information storage section of the management apparatus, the maintenance work information transmitted via the network; and transmitting, to the terminal processing apparatus via the network, the maintenance work information of the analyzer, which is stored in the maintenance work information storage section.
    Type: Grant
    Filed: March 10, 2009
    Date of Patent: March 19, 2013
    Assignee: Sysmex Corporation
    Inventors: Tadayuki Yamaguchi, Takeshi Matsumoto
  • Patent number: 8392009
    Abstract: The present disclosure provides a semiconductor manufacturing method. The method includes performing a first process to a first plurality of semiconductor wafers; determining a sampling rate to the first plurality of semiconductor wafers based on process quality; determining sampling fields and sampling points to the first plurality of semiconductor wafers; measuring a subset of the first plurality of semiconductor wafers according to the sampling rate, the sampling fields and the sampling points; modifying a second process according to the measuring; and applying the second process to a second plurality of semiconductor wafers.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: March 5, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wang Jo Fei, Andy Tsen, Ming-Yu Fan, Jill Wang, Jong-I Mou
  • Patent number: 8392011
    Abstract: A semiconductor wafer processing tape sticking apparatus is provided and is capable of sticking a semiconductor wafer processing tape to a semiconductor wafer under the optimum conditions based on the processing data that has been written to a data carrier member and that has been read from the data carrier member without accessing the host computer unlike a conventional method. The semiconductor wafer processing tape sticking apparatus includes: a feeding apparatus provided with a feeding shaft to which a semiconductor wafer processing tape winding body can be detachably attached; a tape data read/write device for reading and writing the processing data that has been written to a data carrier member of the semiconductor wafer processing tape winding body; and a tape sticking apparatus for sticking a semiconductor wafer processing tape that has been fed out from the feeding apparatus to the semiconductor wafer based on the processing data that has been read by the tape data read/write device.
    Type: Grant
    Filed: June 28, 2006
    Date of Patent: March 5, 2013
    Assignee: Lintec Corporation
    Inventors: Takeshi Segawa, Koichi Yamaguchi, Yuichi Iwakata
  • Patent number: 8369978
    Abstract: A computer-implemented method includes receiving a sequence of current spectra of reflected light from a substrate; comparing each current spectrum from the sequence of current spectra to a plurality of reference spectra from a reference spectra library to generate a sequence of best-match reference spectra; determining a goodness of fit for the sequence of best-match reference spectra; and determining at least one of whether to adjust a polishing rate or an adjustment for the polishing rate, based on the goodness of fit.
    Type: Grant
    Filed: September 3, 2009
    Date of Patent: February 5, 2013
    Assignee: Applied Materials
    Inventors: Jeffrey Drue David, Dominic J. Benvegnu, Harry Q. Lee, Boguslaw A. Swedek
  • Patent number: 8359116
    Abstract: A monitoring system includes a control circuit configured to determine scrap values, yield values, and remainder values for at least a first operation and a second operation. The control circuit is configured to transmit one or more display signals. The one or more display signals include instructions to display a first operation status bar and a second operation status bar. The first operation status bar includes a first operation yield value, a first operation scrap value, and a first operation remainder value. The second operation status bar includes a second operation yield value, a second operation scrap value, and a second operation remainder value.
    Type: Grant
    Filed: September 11, 2009
    Date of Patent: January 22, 2013
    Assignee: SAP AG
    Inventor: Arne Manthey
  • Patent number: 8355810
    Abstract: A method and system for estimating context offsets for run-to-run control in a semiconductor fabrication facility is described. In one embodiment, contexts associated with a process are identified. The process has one or more threads, and each thread involves one or more contexts. A set of input-output equations describing the process is defined. Each input-output equation corresponds to a thread and includes a thread offset expressed as a summation of individual context offsets. A state-space model is created that describes an evolution of the process using the set of input-output equations. The state-space model allows to estimate individual context offsets.
    Type: Grant
    Filed: January 29, 2009
    Date of Patent: January 15, 2013
    Assignee: Applied Materials, Inc.
    Inventor: Jianping Zou
  • Patent number: 8352057
    Abstract: A method for feedback-based optimization of a measurement data life cycle in joining processes during production, comprising the following steps: 1) analysing and simulating production on the basis of assumptions, in particular on the basis of production data, in order to prepare an initial production strategy and/or inspection strategy, 2) preparing and/or adapting a production and/or inspection order, 3) checking the production and/or inspection order for consistency, 4) exporting and storing the production and/or inspection order, 5) aligning and/or joining a component made of at least two sub-assemblies in an assembly zone, 6) analysing and simulating production on the basis of actual measurement results and feedback of an optimized production and/or inspection order into method step 2), and 7) at least one repetition of method steps 2) to 6). The invention also relates to a device for carrying out the method.
    Type: Grant
    Filed: January 4, 2011
    Date of Patent: January 8, 2013
    Assignee: Airbus Operations GmbH
    Inventors: Henning Schriever, Erdal Karaca, Tanja Klostermann
  • Patent number: 8352216
    Abstract: A method for advanced condition monitoring of an asset system includes sensing actual values of an operating condition for an operating regime of the asset system using at least one sensor; estimating sensed values of the operating condition by using an auto-associative neural network; determining a residual vector between the estimated sensed values and the actual values; and performing a fault diagnostic on the residual vector. In another method, an operating space of the asset system is segmented into operating regimes; the auto-associative neural network determines estimates of actual measured values; a residual vector is determined from the auto-associative neural network; a fault diagnostic is performed on the residual vector; and a change of the operation of the asset system is determined by analysis of the residual vector. An alert is provided if necessary. A smart sensor system includes an on-board processing unit for performing the method of the invention.
    Type: Grant
    Filed: May 29, 2008
    Date of Patent: January 8, 2013
    Assignee: General Electric Company
    Inventors: Rajesh Venkat Subbu, John Erik Hershey, Xiao Hu, Robert James Mitchell, Jr., Avinash Vinayak Taware, Piero Patrone Bonissone
  • Patent number: 8340392
    Abstract: A medicine packet inspecting apparatus is disclosed. The medicine packet inspecting apparatus includes a transfer conveyer installed on the upper surface of a main body to transfer medicine packets to one side of the main body, a tablet spreading unit installed on the upper surface of the transfer conveyer to uniformly spread tablets within the medicine packets, an image reading unit to capture the upper surfaces of the medicine packets with a camera and to judge whether or not the tablets are defective through comparison of the captured images with information regarding a prescription and the tablets stored in a data storage unit, and a making unit to mark a defective sign on medicine packets if the tablets within the medicine packets are judged to be defective.
    Type: Grant
    Filed: October 4, 2009
    Date of Patent: December 25, 2012
    Assignee: JVM Co., Ltd.
    Inventor: Jun-Ho Kim
  • Publication number: 20120323355
    Abstract: Methods, systems, and apparatus for spectrographic monitoring of a substrate during chemical mechanical polishing are described. In one aspect, a computer-implemented method includes storing a library having a plurality of reference spectra, each reference spectrum of the plurality of reference spectra having a stored associated index value, measuring a sequence of spectra in-situ during polishing to obtain measured spectra, for each measured spectrum of the sequence of spectra, finding a best matching reference spectrum to generate a sequence of best matching reference spectra, determining the associated index value for each best matching spectrum from the sequence of best matching reference spectra to generate a sequence of index values, fitting a linear function to the sequence of index values, and halting the polishing either when the linear function matches or exceeds a target index or when the associated index value from the determining step matches or exceeds the target index.
    Type: Application
    Filed: August 30, 2012
    Publication date: December 20, 2012
    Inventors: Jeffrey Drue David, Dominic J. Benvegnu, Harry Q. Lee, Boguslaw A. Swedek, Lakshmanan Karuppiah
  • Patent number: 8328950
    Abstract: There are provided a system, method and computer program product for detecting foreign materials in a semiconductor manufacturing process. The manufacturing process uses a plurality of semiconductor manufacturing tools. The system categorizes at least one monitoring wafer according to one or more categories. The system supplies the categorized monitoring wafer to a semiconductor manufacturing tool. The system observes a level of contamination on the categorized monitoring wafer. The system compares the level of contamination to a threshold. The system cleans the tool in a response to determining that the level of contamination is larger than the threshold. The system determines which category of the wafer leaves a highest level of contamination on the tool. The system identifies a root cause of the highest level of contamination on the tool.
    Type: Grant
    Filed: May 20, 2010
    Date of Patent: December 11, 2012
    Assignee: International Business Machines Corporation
    Inventors: Robert J. Baseman, Tomasz J. Nowicki
  • Patent number: 8321048
    Abstract: A method and apparatus is provided for associating operational data with workpieces and correlating the operational data with yield data. The method comprises processing a workpiece using a processing tool, associating the operational data with the workpiece during the processing of the workpiece and measuring the yield data associated with the processed workpiece. The method further comprises correlating the operational data with the yield data to make one or more determinations.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: November 27, 2012
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Elfido Coss, Jr., Anastasia O. Peterson, Christopher A. Bode
  • Patent number: 8311666
    Abstract: A system separating defective dies from a wafer comprises a film frame platform and a pick-and-place device. The film frame platform comprises a support table assembly configured for supporting a film frame assembly and a platform surface configured to receive the placement of bins thereupon. The pick-and-place device is configured for moving in a linear manner between the support table assembly and the platform surface.
    Type: Grant
    Filed: December 28, 2009
    Date of Patent: November 13, 2012
    Assignee: Cheng Mei Instrument Technology Co., Ltd.
    Inventors: Te Chun Chen, Chien Chao Huang, Cheng Tao Tsai
  • Patent number: 8306646
    Abstract: When a product substrate passes a reference module which is an n-th module ahead of an inspection module in a transfer path, an inspection reservation signal for performing an inspection to a lot to which the product substrate belongs is outputted to the inspection module. When the inspection module is in trouble, the output of an inspection reservation signal for a product substrate is forbidden, and the product substrates to be transferred to the inspection module are transferred to a module which is next to the inspection module in a transfer order. When the trouble of the inspection module has been resolved and a substrate for confirmation inspection is preferentially transferred to the inspection module, an inspection reservation signal for the substrate for confirmation inspection is outputted, the substrate for confirmation inspection is transferred to the inspection module, and the confirmation inspection for the inspection module is performed.
    Type: Grant
    Filed: April 21, 2009
    Date of Patent: November 6, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Tomohiro Kaneko, Takeshi Matsumoto
  • Patent number: 8307310
    Abstract: A pattern generating method includes: extracting, from a shape of a pattern generated on a substrate, a contour of the pattern shape; setting evaluation points as verification points for the pattern shape on the contour; calculating curvatures on the contour in the evaluation points; and verifying the pattern shape based on whether the curvatures satisfy a predetermined threshold set in advance.
    Type: Grant
    Filed: January 7, 2010
    Date of Patent: November 6, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Toshiya Kotani, Hiromitsu Mashita, Takafumi Taguchi, Ryuji Ogawa
  • Patent number: 8301289
    Abstract: A defect detecting method of a display device includes a defect counting process that measuring a feature amount for each partial region of a display device (P32), and counting regions which is determined as a defective portion based on the measured feature amount of the region (P36), a process that stopping a manufacturing line of the display device when a number of defects counted at the defect counting process is greater than a first threshold value (P38, P42), a defect density calculating process that calculating a defect density in a predetermined area when the number of defects counted at the defect counting process is smaller than the first threshold value (P38), and a process that stopping the manufacturing line of the display device when the defect density calculated at the defect density calculating process is higher than a second threshold value (P40, P42).
    Type: Grant
    Filed: April 2, 2010
    Date of Patent: October 30, 2012
    Assignee: Nikon Corporation
    Inventors: Kei Nara, Tomohide Hamada
  • Patent number: 8301288
    Abstract: A scheduling optimizer system, method and program product that analyzes a device for sensitivities, such as ESD sensitivities, and allows for modification of a floor schedule of the assembly unit of the device based on the sensitivity of the device while improving the overall performance of the assembly unit are disclosed. The scheduling optimizer analyzes sensitivity data for a device during operation of the assembly unit on the floor schedule. The floor schedule is then optimized based on the analyzed sensitivity data.
    Type: Grant
    Filed: June 16, 2004
    Date of Patent: October 30, 2012
    Assignee: International Business Machines Corporation
    Inventors: Brian T. Denton, Cuc K Huynh, Shreesh S. Tandel, Steven H. Voldman
  • Patent number: 8294585
    Abstract: A complete hand hygiene station and method of monitoring a complete hand hygiene station are provided. The complete hand hygiene station may include at least an automated wash cylinder or chamber, a sanitizer dispenser and a lotion dispenser. The wash chamber and/or the sanitizer dispenser may be used to provide a user with a hand washing. The lotion dispenser may be used to moisturize a user's hands after the usage of the sanitizer dispenser. The complete hand hygiene station may be monitored to ensure compliance with one or more hygiene protocols. A particular hygiene protocol may specify hygiene requirements such as daily hygiene station usage, lotion usage subsequent to sanitizer usage, and/or mandatory wash chamber use subsequent to a predetermined number of lotion usages.
    Type: Grant
    Filed: April 29, 2009
    Date of Patent: October 23, 2012
    Assignee: Resurgent Health & Medical, LLC
    Inventor: Paul R. Barnhill
  • Patent number: 8290610
    Abstract: The present disclosure discloses a method of manufacturing an article. According to at least one embodiment, a set of manufacturing instructions is stored for a machine, and at least one of the manufacturing instructions is imputed into the machine. In addition, an article is manufactured with the machine in accordance with the input manufacturing instructions, and a realisation log which is indicative of the operations that the machine has actually performed in manufacturing the article is generated. Further, a report by comparing the realisation log with the stored set of manufacturing instructions is generated.
    Type: Grant
    Filed: September 23, 2008
    Date of Patent: October 16, 2012
    Assignee: Airbus Operations Limited
    Inventors: Robert Lloyd, Peter Lunt, Jeremy Cushen, Ashutosh Tiwari, Kostas Vergidis
  • Patent number: 8285513
    Abstract: The present invention is a method and system for detecting an abnormal on-line analysis or laboratory measurement and for predicting an abnormal quality excursion due to an abnormal process condition.
    Type: Grant
    Filed: February 15, 2008
    Date of Patent: October 9, 2012
    Assignee: ExxonMobil Research and Engineering Company
    Inventor: Kenneth F. Emigholz
  • Publication number: 20120253498
    Abstract: Surface 27 of molten metal within a mold is constantly monitored by camera 25. Camera 25 records the surface from an obliquely upward position of the mold in an area that does not affect the casting process. Various analyzing frames such as analysis band 35, molten metal pattern 37, and injection monitoring part 43, are set with respect to the information recorded by the camera 25. The analysis band 35 includes the surface (molten metal part 31c), and is set to a predetermined width so that the direction of surface change is in the longitudinal direction. The width of the analysis band 35 is set as wide as possible in a range that does not block the discharge part (molten metal part 31a). Inside the analysis band 35, the rate of change of the binary data is calculated by the analyzing part.
    Type: Application
    Filed: September 12, 2011
    Publication date: October 4, 2012
    Inventors: Shuji Tommatsu, Daisuke Nishide
  • Publication number: 20120239179
    Abstract: The content of an operating instruction to each worker in a manufacturing process is controlled in the following way based on a manufacturing direction to the worker and on manufacturing achievement, work proficiency, and the like of the worker for the manufacturing direction. A deviation between a manufacturing direction and manufacturing achievement is calculated. Manufacturing direction parameters acting as factors of the calculated deviation are specified for each product to be manufactured. The above information is stored in a deviation factor database. For a new manufacturing direction, manufacturing direction parameters therein are checked against the deviation factor database to determine alarm information to be given to a worker on a manufacturing line, and the determined alarm information is outputted.
    Type: Application
    Filed: May 26, 2010
    Publication date: September 20, 2012
    Inventors: Shinichirou Hanawa, Hitomi Arai
  • Patent number: 8268147
    Abstract: A control device for a gas sensor is configured to: receive a mode command to specify one of a plurality of sensor energization modes including at least a gas concentration detection mode, a protection mode and a pre-energization mode; switch a sensor element of the gas sensor into the one of the plurality of sensor energization modes according to the mode command; judge satisfaction of a certain condition where the mode command is to specify the gas concentration detection mode and the sensor element is in any of the plurality of sensor energization modes other than the pre-energization mode at the time of receipt of the mode command; and prohibit the sensor element from switching over to the gas concentration detection mode when the certain condition is satisfied.
    Type: Grant
    Filed: September 13, 2007
    Date of Patent: September 18, 2012
    Assignee: NGK Spark Plug Co., Ltd.
    Inventors: Norikazu Ieda, Tomonori Uemura, Hiroshi Inagaki
  • Patent number: 8265787
    Abstract: Based on the positions of a first marker and a second marker of a first substrate placed on a placing surface of a substrate placing table (1), a substrate processing apparatus makes the direction of a line connecting the first marker and the second marker accord with the moving direction of a gantry (2). The positions of the first marker and a third marker, in the state that the direction of the line connecting the first marker and the second marker accord with the moving direction, are stored in a storage section. After a second substrate is placed on the placing surface of the substrate placing table (1), the direction of a line connecting the first marker and the third marker on the second substrate is made to accord with the direction of a line connecting the first marker and the third marker calculated from the position of the third marker and the fourth marker on the first substrate stored in the storage section.
    Type: Grant
    Filed: December 4, 2007
    Date of Patent: September 11, 2012
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Kojiro Morii
  • Publication number: 20120226376
    Abstract: Method and device for blow molding containers, wherein a preform made of a thermoplastic material is shaped to give a container using blow molding pressure in a blow mold once the preform has been subjected to a thermal conditioning step in the region of a heating section along a transport path, and wherein at least one parameter characterizing the blow molding process is measured and supplied to a control device which acts upon at least one adjusting element to change at least one parameter influencing the blow molding process. The control device (51) calculates at least one property of the finished blow-molded container (2) based on the measured parameters characterizing the blow molding process and using a simulation model (48) and compares said property with a set value. Based on a possible deviation between the set value and the actual value, the parameter influencing the blow molding process is changed such that a possible remaining deviation is minimized.
    Type: Application
    Filed: July 22, 2010
    Publication date: September 6, 2012
    Applicant: KHS CORPOPLAST GMBH
    Inventors: Frank Haesendonckx, Matthias Gernhuber, Pisarn Pasutanon
  • Publication number: 20120226375
    Abstract: A control strategy for producing high quality extrudates, including the steps of monitoring the temperature of a ceramic precursor batch by measuring the temperature of the batch material either directly or indirectly by measuring the temperature of a component of the extruder proximate to the die and transmitting the temperature data to an extrusion control system which comprises a master controller (106), at least one slave controller (110) and an optional supervisory controller. The supervisory controller determines batch temperature setpoint (102) in order to achieve the desired temperatures for extruding a certain type of batch material based on real time temperature inputs and stored parameters such as batch composition, process throughput, extruder cooling capacity, and the like.
    Type: Application
    Filed: October 30, 2009
    Publication date: September 6, 2012
    Applicant: Corning Incorporated
    Inventors: Dennis M. Brown, Maryam Khanbaghi, Robert John Locker, Wenbin Qiu, Kenneth Charles Sariego, Conor James Walsh
  • Patent number: 8260446
    Abstract: Methods, systems, and apparatus for spectrographic monitoring of a substrate during chemical mechanical polishing are described. In one aspect, a computer-implemented method includes storing a library having a plurality of reference spectra, each reference spectrum of the plurality of reference spectra having a stored associated index value, measuring a sequence of spectra in-situ during polishing to obtain measured spectra, for each measured spectrum of the sequence of spectra, finding a best matching reference spectrum to generate a sequence of best matching reference spectra, determining the associated index value for each best matching spectrum from the sequence of best matching reference spectra to generate a sequence of index values, fitting a linear function to the sequence of index values, and halting the polishing either when the linear function matches or exceeds a target index or when the associated index value from the determining step matches or exceeds the target index.
    Type: Grant
    Filed: February 2, 2010
    Date of Patent: September 4, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Jeffrey Drue David, Dominic Benvegnu, Harry Q. Lee, Boguslaw A. Swedek, Lakshmanan Karuppiah
  • Patent number: 8252234
    Abstract: An apparatus is provided for producing pharmaceutical and pharmaceutical-like product. The apparatus provides real-time monitoring of the pharmaceutical product and can provide real-time control. The apparatus monitors the dosage both before and after it has been added to a carrier substrate. The apparatus can provide monitoring of each pharmaceutical product that is processed.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: August 28, 2012
    Assignee: SmithKline Beecham Corporation
    Inventors: Allan J. Clarke, David George Doughty, Frederick H. Fiesser, David S. Wagner
  • Patent number: 8249733
    Abstract: A computing system is communicated with a metal fabrication device for analyzing performance of an industrial robot. The metal fabrication device includes the industrial robot, and a plate bender. The computing system is operable to generate an analysis report of the performance of the industrial robot by providing section model creating function, bending point obtainting function, bending operation monitoring function, workpiece modeling function for the metal fabrication device. The quality of a finished workpiece bent by the industrial robot from a metal plate may be analyzed from the analysis report generated by the computing system.
    Type: Grant
    Filed: April 22, 2009
    Date of Patent: August 21, 2012
    Assignees: Hong Fu Jin Precision Industry (ShenZhen) Co., Ltd., Hon Hai Precision Industry Co., Ltd.
    Inventors: Zhi-Hui Wang, Ze-Jun Dai, Xiao-Yun Yuan
  • Publication number: 20120209415
    Abstract: A system and method for production of manufactured parts including a production process having at least one industrial robot equipped with a handling tool for picking up the manufactured part. The robot is arranged in a quality inspection cell and the robot is programmed to hold the manufactured part in at least one known position in the quality inspection cell and present the part for a quality inspection. The quality inspection may be made visually by an operator or with the aid of a tool or sensor or by means of automatic sensors. In other aspects of the invention a method, system and a computer program for carrying out the method are described.
    Type: Application
    Filed: March 21, 2012
    Publication date: August 16, 2012
    Inventors: Ramon Casanelles, Francesc Cortes Grau
  • Patent number: 8239197
    Abstract: A system and method for efficiently transcribing verbal messages transmitted over the Internet (or other network) into text. The verbal messages are initially checked to ensure that they are in a valid format and include a return network address, and if so, are processed either as whole verbal messages or split into segments. These whole verbal messages and segments are processed by an automated speech recognition (ASR) program, which produces automatically recognized text. The automatically recognized text messages or segments are assigned to selected workbenches for manual editing and transcription, producing edited text. The segments of edited text are reassembled to produce whole edited text messages, undergo post processing to correct minor errors and output as an email, an SMS message, a file, or an input to a program. The automatically recognized text and manual edits thereof are returned as feedback to the ASR program to improve its accuracy.
    Type: Grant
    Filed: October 29, 2008
    Date of Patent: August 7, 2012
    Assignee: Intellisist, Inc.
    Inventors: Mike O. Webb, Bruce J. Peterson, Janet S. Kaseda
  • Patent number: 8234001
    Abstract: A method of analyzing production steps includes inputting application data associated with a production process having a plurality of process steps into a memory with each of the plurality of process steps including a plurality of tools. The method also includes loading process data associated with one of the plurality of process steps into the memory, performing a tool commonality analysis on each of the tools associated with the at least one of the plurality of process steps, identifying all tool-to-tool differences for the at least one of the plurality of process steps, performing a tool stratification analysis to identify one of the plurality of tools that provides the largest variance contribution to the at least one of the plurality of process steps, and stopping the one of the plurality of tools that provides the largest variance contribution to the at least one of the plurality of process steps.
    Type: Grant
    Filed: September 28, 2009
    Date of Patent: July 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: James Rice, Dustin K. Slisher, Yunsheng Song
  • Patent number: 8229584
    Abstract: An abnormality detection system includes a measurement unit, a decision unit, an alarm unit, and storage units, and serves to detect the abnormality in a control characteristic value of a plurality of products manufactured on the same production line. The decision unit receives the control characteristic value stored in the storage unit, and decides whether an abnormality exists, based on that value. More specifically, the decision unit decides that the control characteristic value is abnormal when, with respect to m (m is a natural number) pieces of the products that are consecutively manufactured, an absolute value of a difference in control characteristic value between each of the products and another manufactured immediately before the former is equal to or less than a predetermined constant.
    Type: Grant
    Filed: January 16, 2007
    Date of Patent: July 24, 2012
    Assignees: Renesas Electronics Corporation, NEC Corporation
    Inventors: Masanobu Higashide, Gouki Sadakuni
  • Patent number: 8230042
    Abstract: An auditing system is disclosed comprising a Policy Validation Mechanism Program (PVMP) that operates in conjunction with a Workflow Engine (WE), and a Policy Validation Server Program (PVSP) that operates on a Policy Validation Server (PVS) connected to the WE by a secure communication link. The PVMP converts a workflow to a workflow representation (WR) and sends the WR to the PVS. The PVSP compares the steps in the WR to a security policy identified for that WR and determines whether the WR is in compliance. In addition, the PVSP validates a checksum for the WR and logs the checksum for subsequent comparisons. The PVSP uses the checksum to determine whether a policy has changed during execution of the workflow. If the WR is not in compliance, if the checksum cannot be validated, or if a policy has changed, then a failure notification is sent to the WE. Otherwise, a success notification is sent to the WR.
    Type: Grant
    Filed: April 19, 2005
    Date of Patent: July 24, 2012
    Assignee: International Business Machines Corporation
    Inventors: Rhonda L. Childress, Edmond Chow, David Bruce Kumhyr, Stephen James Watt
  • Patent number: 8224605
    Abstract: An information processing device stores an extracted feature of each inspection item of the process inspection, and a determination result of a final inspection in a memory device, calculates a separation degree between a distribution of features of products which were determined as good products at the final inspection and a distribution of features of products which were determined as defective products at the final inspection for every inspection item or every combination of inspection items based on data of the products stored in the memory device, selects an inspection item whose inspection standard is to be reset from the inspection items or the combinations of the inspection items based on a value of the separation degree. Thus providing a method of appropriately setting an inspection standard for detecting a defect sign during process inspection. Further a process inspection device and inspection standard setting device which implements the same.
    Type: Grant
    Filed: May 12, 2006
    Date of Patent: July 17, 2012
    Assignee: OMRON Corporation
    Inventors: Hiroshi Tasaki, Kazuto Kojitani
  • Patent number: 8219231
    Abstract: A quality control method includes: extracting, from a time series distribution of troubles that have occurred in electronic equipments, a first characteristics of states of occurrence of the troubles; specifying one or more parts included in the electronic equipments, the parts being involved with the troubles; extracting, from another time series distribution of a rate of use corresponding to each of suppliers which supply the specified parts, a second characteristics of the parts; and specifying one or more of the suppliers supplying the parts correlated to the troubles based on a correlation between the extracted first characteristics and the extracted second characteristics.
    Type: Grant
    Filed: September 14, 2009
    Date of Patent: July 10, 2012
    Assignee: Fuji Xerox Co., Ltd.
    Inventors: Tetsuichi Satonaga, Masayasu Takano, Noriyuki Matsuda, Akiko Seta, Koji Adachi, Kaoru Yasukawa
  • Publication number: 20120158169
    Abstract: A closed-loop etching control system controls exposure of a silicon workpiece to a spontaneous etchant. The system determines an amount of material to be removed from the silicon workpiece, based on metrology information corresponding to the silicon workpiece. The mass of the material to be removed is calculated, and the silicon workpiece is exposed to the spontaneous etchant to remove the material. The system monitors a change in mass of the silicon workpiece caused by exposure of the silicon workpiece to the spontaneous etchant to determine when the amount of the material has been removed from the silicon workpiece. Exposure of the silicon workpiece to the spontaneous etchant is stopped when the change in the mass of the silicon workpiece indicates that the amount of the material has been removed.
    Type: Application
    Filed: December 16, 2010
    Publication date: June 21, 2012
    Applicant: Electro Scientific Industries, Inc.
    Inventors: Daragh S. Finn, Andrew E. Hooper, A. Grey Lerner
  • Patent number: 8204721
    Abstract: Predictive Split Lot Emulator, and methods simulating integrated circuit performance variations, before IC fabrication. The emulator receives a split lot parameter, maps the split lot parameter onto an IC element model, and transforms the IC element into a predictive IC element model. The emulator uses the predictive model to determine simulated performance characteristic of the IC element model. Also, a predictive split lot analyzer, a CAD simulation system, and a PDK including the emulator. IC simulating methods include choosing a Split Condition from a Split Table; a Predictive Split Lot Emulator receiving the Condition, determining a Split Parameter Condition Perturbation, mapping the Perturbation into a Model Parameter Perturbation for an IC element, and storing the Model Perturbation for an IC element into a Model Parameter Perturbation Library. The Perturbation Library emulates IC element performance characteristic in a Split Condition.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: June 19, 2012
    Assignee: Sentinel IC Technologies, Inc.
    Inventors: James Victory, Juan D. Cordovez
  • Publication number: 20120150333
    Abstract: An automated process for monitoring and controlling contamination activity in a production area comprises capturing image from the production area over a period of time, processing the image data with a computer to determine whether a contamination event has occurred in the production area, and activating a contamination control device in accordance with the processing of the image data. The contamination event can be a germ-releasing event from an individual in the production area, a pipe leaking fluid into the production area, etc. The automated monitoring and control may also determine whether an article of contamination control equipment (e.g., face mask, glove, etc) is properly positioned on the individual to prevent the contamination from entering the production area in a form that could contaminate product, equipment, or the production area itself.
    Type: Application
    Filed: January 28, 2011
    Publication date: June 14, 2012
    Inventors: Nicholas De Luca, Koichi Sato
  • Patent number: 8195533
    Abstract: Disclosed are various embodiments of a self healing andon system. One embodiment of the present disclosure includes a system comprising at least one server. The system further includes logic executed on the at least one server that retrieves a defect history associated with a vendor and at least one product from an inbound shipment from a memory. The at least one product is stocked in a materials handling facility, and the defect history is associated with a specified time period. The at least one server extracts at least one inventory defect from the defect history in a computer system and calculates an inventory defect rate. The at least one server imposes remedial measures if the inventory defect rate exceeds a specified threshold of the inventory defect rate.
    Type: Grant
    Filed: December 8, 2008
    Date of Patent: June 5, 2012
    Assignee: Amazon Technologies, Inc.
    Inventors: Devesh Mishra, Eric C. Young, Sameer Vinod Shah, Timothy Jesse Tien, Jun Zhao
  • Publication number: 20120136469
    Abstract: A system for controlling the operation of a printing press used to apply an aqueous printable electrically conductive ink onto a substrate to create electrically conductive traces of such ink includes a probe for contacting the electrical traces and for obtaining a resistance measurement therefrom. A computer receives the resistance measurement, compares such measurement with pre-selected data correlating resistance with acceptable performance criteria for such traces, determines whether such resistance measurement signifies acceptable performance, and signals an appropriate mechanism for identifying those electrical traces which do not meet the acceptable performance criteria. Unacceptable traces are removed from the press prior to further processing. The computer can also signal an ink replenishment or conditioning system, contained in a replaceable cartridge, to correct any abnormalities in the ink properties.
    Type: Application
    Filed: June 8, 2006
    Publication date: May 31, 2012
    Inventors: Michael Petersen, Mykola Sherstyuk, James Nielson
  • Patent number: RE43527
    Abstract: Methods, systems, and software program for validation of pharmaceutical manufacturing processes and quality assurance process are described and disclosed herein. Consequently, the methods provide a means to perform validation on an integrated level whereby the quality control unit can ensure data and product integrity and minimize cost.
    Type: Grant
    Filed: November 25, 2008
    Date of Patent: July 17, 2012
    Assignee: SMP Logic Systems LLC
    Inventor: Shane M. Popp