Built-in Testing Circuit (bilbo) Patents (Class 714/733)
  • Patent number: 8458545
    Abstract: A circuit includes an input node configured to receive a test address input signal and circuitry configured to generate, from a first part of the test address input signal, a first address signal that selects a first address of a first part of a circuit to be tested and further generate, from a second part of the test address input signal, a second signal configured to select a second part of the circuit to be tested. Test circuitry is then configured to use the first address and the second part in a test mode.
    Type: Grant
    Filed: November 29, 2010
    Date of Patent: June 4, 2013
    Assignee: STMicroelectronics International N.V.
    Inventors: Tanmoy Roy, Harsh Rawat, Swapnil Bahl, Amit Chhabra, Nitin Jain, Jatin Fultaria
  • Publication number: 20130139017
    Abstract: IEEE 1149.1 Test Access Ports (TAPs) may be utilized at both IC and intellectual property core design levels. TAPs serve as serial communication ports for accessing a variety of embedded circuitry within ICs and cores including; IEEE 1149.1 boundary scan circuitry, built in test circuitry, internal scan circuitry, IEEE 1149.4 mixed signal test circuitry, IEEE P5001 in-circuit emulation circuitry, and IEEE P1532 in-system programming circuitry. Selectable access to TAPs within ICs is desirable since in many instances being able to access only the desired TAP(s) leads to improvements in the way testing, emulation, and programming may be performed within an IC. A TAP linking module is described that allows TAPs embedded within an IC to be selectively accessed using 1149.1 instruction scan operations.
    Type: Application
    Filed: November 6, 2012
    Publication date: May 30, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Texas Instruments Incorporated
  • Patent number: 8453025
    Abstract: A Scan-BIST architecture is adapted into a low power Scan-BIST architecture. A generator 102, compactor 106, and controller 110 remain the same as in the known art. The changes between the known art Scan-BIST architecture and the low power Scan-BIST architecture involve modification of the known scan path into scan path 502, to insert scan paths A 506, B 508 and C 510, and the insertion of an adaptor circuit 504 in the control path 114 between controller 110 and scan path 502.
    Type: Grant
    Filed: August 2, 2012
    Date of Patent: May 28, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8453026
    Abstract: A process for conserving storage space and time while recording not only a pass or fail result per die but also additional failure test pattern data by computing and comparing digital fault signatures or hash values on a tester.
    Type: Grant
    Filed: November 30, 2006
    Date of Patent: May 28, 2013
    Assignee: Advantest (Singapore) Pte Ltd
    Inventors: Gerald S. Chan, Richard C. Dokken
  • Patent number: 8453033
    Abstract: According to one embodiment, a semiconductor memory device includes semiconductor memory chips having writable storage regions in which data is written. The data has one or more pieces of first data, and one or more pieces of the first data includes second data. The device includes a determining unit that determines a prescribed number or fewer of semiconductor memory chips to which the first data is written; a write controller that writes the the first data and redundant information calculated from the second data and used for correcting an error in the second data into the writable storage regions in the determined semiconductor memory chips; and a storage unit that stores identification information and region specifying information associated with each other.
    Type: Grant
    Filed: September 20, 2010
    Date of Patent: May 28, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kenichiro Yoshii, Kazuhiro Fukutomi, Shinichi Kanno, Shigehiro Asano
  • Patent number: 8446163
    Abstract: A test circuit includes a signal level modifying circuit. The signal level modifying circuit modifies at least one of signal levels of an inverting input signal and a noninverting input signal supplied to a differential input circuit in response to a test signal outputted from a signal output circuit to make a difference between signal levels of the inverting input signal and the noninverting input signal smaller than that in a normal operation. Here, the test signal indicates a test mode in which input/output characteristics of the differential input circuit is tested.
    Type: Grant
    Filed: February 3, 2010
    Date of Patent: May 21, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Hiroyuki Kobatake
  • Patent number: 8438432
    Abstract: An integrated circuit is interfaced with at least one dynamic random access memory (DRAM) via a memory interface. A plurality of user test options are received. The testing of the memory interface is controlled in accordance with the plurality of user test options. Test data, generated as a result of the testing of the memory interface, is stored.
    Type: Grant
    Filed: August 25, 2010
    Date of Patent: May 7, 2013
    Assignee: ViXS Systems, Inc.
    Inventors: Rajat Gupta, Chun-Chin Yeh
  • Patent number: 8438436
    Abstract: A method of securing a design-for-test scan chain within a programmable integrated circuit device (IC) can include placing the programmable IC in an operational mode and responsive to a request to access a scan chain within the programmable IC, selectively enabling a secure mode within the programmable IC according to a configuration state of the programmable IC. Enabling secure mode within the programmable IC can provide access to the scan chain. Responsive to enabling the secure mode, the programmable IC can remain in the secure mode and be prevented from re-entering the operational mode until the programmable IC is power cycled.
    Type: Grant
    Filed: June 4, 2010
    Date of Patent: May 7, 2013
    Assignee: Xilinx, Inc.
    Inventors: Matthew P. Baker, Weiguang Lu
  • Patent number: 8433963
    Abstract: An address and command port interface selectively enables JTAG TAP domain operations and Trace domain operations within an IC. The port carries TMS and TDI input and TDO output on a single pin and receives a clock signal on a separate pin. The addressable two pin interface loads and updates instructions and data to the TAP domain within the IC. The instruction or data update operations in multiple ICs occur simultaneously. A process transmits data from an addressed target device to a controller using data frames, each data frame comprising a header bit and data bits. The logic level of the header bit is used to start, continue, and stop the data transmission to the controller. A data and clock signal interface between a controller and multiple target devices provides for each target device to be individually addressed and commanded to perform a JTAG or Trace operation.
    Type: Grant
    Filed: September 26, 2012
    Date of Patent: April 30, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8423847
    Abstract: Built-in self-test (BIST) microcontroller integrated circuit adapted for logic verification. Microcontroller includes a plurality of hardware description language files representing a hierarchical description of the microcontroller, the plurality of hardware description language files including a library of circuit design elements, a plurality of library design circuit elements adapted to store a uniquely defined set of input and output signals to enable a logic BIST, and a plurality of latches adapted to store a plurality of values corresponding to a behavioral profile of a test clock.
    Type: Grant
    Filed: May 11, 2012
    Date of Patent: April 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Gary D. Grise, David E. Lackey, Steven F. Oakland, Donald L. Wheater
  • Patent number: 8422668
    Abstract: Processing of masked data using table lookups is described. A mask is applied to input data to generate masked input data. The mask and the masked input data are used in combination to locate an entry in a lookup table. The entry corresponds to a transformed version of the input data.
    Type: Grant
    Filed: December 15, 2006
    Date of Patent: April 16, 2013
    Assignee: Spansion LLC
    Inventor: Elena Vasilievna Thichina
  • Patent number: 8423845
    Abstract: On-chip logic includes a shadow register cross-coupled with a multiple input shift/signature register (MISR). The shadow register facilitates debugging by shifting out a test signature while resetting the MISR with a fault-free signature. The on-chip logic may further include comparator circuitry to produce an output signal by comparing the test signature with the fault-free signature or by first compressing the test signature and then comparing the compressed test signature with the compressed fault-free signature.
    Type: Grant
    Filed: December 1, 2009
    Date of Patent: April 16, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Friedrich Hapke, Juergen Schloeffel, Michael Wittke, Rene Krenz-Baath
  • Patent number: 8423846
    Abstract: Integrated circuits with memory built-in self test (MBIST) circuitry and methods are disclosed that employ enhanced features. In one aspect of the invention, an integrated circuit is provided having MIBST circuitry configured to serially test multiple arrays of memory elements within a component of the integrated circuit and to also conduct parallel initialization of the serially tested arrays. In another aspect of the invention, the MBST circuitry is used set the memory elements of the arrays to a first state and then to an inverse state during a burn-in operation to maintain each of the two opposing states for a desired time in order to either force a failure of the integrated circuit component or produce a pre-stressed component beyond an infancy stage.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: April 16, 2013
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Wei-Yu Chen, Kevin Badgett, Kay Hessee
  • Patent number: 8423841
    Abstract: The present invention provides a method and system for improving memory testing efficiency, raising the speed of memory testing, detecting memory failures occurring at the memory operating frequency, and reducing data reported for redundancy repair analysis. The memory testing system includes a first memory tester extracting failed memory location information from the memory at a higher memory operating frequency, an external memory tester receiving failed memory location information at a lower memory tester frequency, and an interface between the first memory tester and the external memory tester. The memory testing method uses data strobes at the memory tester frequency to clock out failed memory location information obtained at the higher memory operating frequency. In addition, the inventive method reports only enough information to the external memory tester for it to determine row, column and single bit failures repairable with the available redundant resources.
    Type: Grant
    Filed: May 31, 2011
    Date of Patent: April 16, 2013
    Assignee: Marvell International Ltd.
    Inventors: Winston Lee, Albert Wu, Chorng-Lii Liou
  • Patent number: 8418008
    Abstract: A scan clock modifier, a method of providing a variable scan clock, an IC including a scan clock modifier and a library including a cell of a scan clock modifier. In one embodiment, the scan clock modifier includes: (1) logic circuitry configured to provide at least one selected clock signal based on a test scan clock signal and a first clock control signal, both of the test scan clock signal and the first clock control signal received from test equipment and (2) comparison logic configured to provide a scan clock signal based on the at least one selected clock signal and at least one other clock control signal received from the test equipment, wherein the first and the at least one other clock control signals are different clock control signals.
    Type: Grant
    Filed: December 18, 2008
    Date of Patent: April 9, 2013
    Assignee: LSI Corporation
    Inventors: Sreejit Chakravarty, Narendra B. Devta-Prasa, Arun Gunda, Fan Yang
  • Patent number: 8418005
    Abstract: Example methods, apparatus and articles of manufacture to diagnose temperature-induced memory errors are disclosed. A disclosed example method to diagnose a temperature-induced memory error includes detecting a memory error associated with a memory device, and writing a highest measured temperature of the memory device in the memory device when the memory error is detected, the highest temperature measured temporally near the detected memory error.
    Type: Grant
    Filed: May 6, 2010
    Date of Patent: April 9, 2013
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Kevin G. Depew, Andrew Brown, John S. Harsany
  • Patent number: 8418009
    Abstract: A computer-readable medium stores therein a program that causes a computer to execute acquiring for each chip, first delay values of paths in chips manufactured using circuit information concerning a circuit-under-test; building a function model representing a delay value of a path, based on the first delay values for the path and the circuit information; calculating a second delay value of a path included in and having the same configuration in each chip, using a built function model and the circuit information; comparing for each chip, a given calculated second delay value and the first delay value of a given path having a configuration identical to that of the path for which the given second delay value has been calculated; determining based on a comparison result, the given path to be a path that includes a delay error occurring irregularly according to chip; and outputting a determination result.
    Type: Grant
    Filed: May 12, 2010
    Date of Patent: April 9, 2013
    Assignee: Fujitsu Limited
    Inventor: Tsutomu Ishida
  • Patent number: 8412995
    Abstract: The present disclosure describes novel methods and apparatuses for directly accessing JTAG Tap domains that exist in a scan path of many serially connected JTAG Tap domains. Direct scan access to a selected Tap domain by a JTAG controller is achieved using auxiliary digital or analog terminals associated with the Tap domain and connected to the JTAG controller. During direct scan access, the auxiliary digital or analog terminals serve as serial data input and serial data output paths between the selected Tap domain and the JTAG controller.
    Type: Grant
    Filed: April 4, 2012
    Date of Patent: April 2, 2013
    Assignee: Texas Instrument Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8412988
    Abstract: A circuit for detecting a fault injection in an integrated circuit including: at least one logic block for performing a logic function of said integrated circuit; an isolation block coupled to receive a signal to be processed and an isolation enable signal indicating a functional phase and a detection phase of the logic block, the isolation block applying, during the functional phase, the signal to be processed to at least one input of the logic block, and during the detection phase, a constant value to the input of the logic block; and a detection block adapted to monitor, during the detection phase, the state of the output signal of the logic block, and to generate an alert signal in case of any change in the state of the output signal.
    Type: Grant
    Filed: July 29, 2010
    Date of Patent: April 2, 2013
    Assignee: STMicroelectronics (Rousset) SAS
    Inventors: Frédéric Bancel, Nicolas Berard
  • Patent number: 8407542
    Abstract: A method and circuit are provided for implementing switching factor reduction in Logic Built in Self Test (LBIST) diagnostics, and a design structure on which the subject circuit resides. Switching factor reduction logic is coupled to a Pseudo-Random Pattern Generator (PRPG) providing channel input patterns to a plurality of LBIST channels used for the LBIST diagnostics. The switching factor reduction logic selectively provides controlled channel input patterns for each of the plurality of channels.
    Type: Grant
    Filed: July 27, 2010
    Date of Patent: March 26, 2013
    Assignee: International Business Machines Corporation
    Inventors: Steven Michael Douskey, Ryan Andrew Fitch, Michael John Hamilton, Amanda Renee Kaufer
  • Patent number: 8407541
    Abstract: Integrated circuits with dynamic pin routing capabilities are provided. An integrated circuit may include circuitry under test and a dynamic signal routing controller. The dynamic signal routing controller may include multiplexers, a test register, and a signal select register. The circuitry under test may be connected to internal test lines that receive static test signals and dynamic test signals. The internal test lines that receive static test signals may be selectively routed to the test register (e.g., test registers store static test signals) while the internal test lines that receive dynamic test signals may be selectively routed to test pins (e.g., dynamic test signals are driven through the test pins). Each multiplexer may have a given input that is connected to the test register and additional inputs that are connected to the test pins. The signal select register stores control bits that configure the routing performed by each multiplexer.
    Type: Grant
    Filed: June 18, 2010
    Date of Patent: March 26, 2013
    Assignee: Altera Corporation
    Inventors: Seong Hong Teh, Seng Kuan Yeow, Shen Shen Lee
  • Patent number: 8402331
    Abstract: An integrated circuit carries an intellectual property core. The intellectual property core includes a test access port 39 with test data input leads 15, test data output leads 13, control leads 17 and an external register present, ERP lead 37. A scan register 25 encompasses the intellectual property core and ERP lead 37 carries a signal indicating the presence of the scan register.
    Type: Grant
    Filed: June 1, 2012
    Date of Patent: March 19, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8400181
    Abstract: A wafer is disclosed that includes a plurality of pipeline interconnected integrated circuit dies that form a plurality of pipelines. A plurality of dies in each pipeline is connected to receive scanned output test data from a neighboring die in a pipeline. A wafer level test access mechanism (TAM) transceiver circuitry, located outside the plurality of pipeline interconnected IC dies, is connected in common to each of the pipelines to provide input test data in a parallel fashion to the plurality of pipelines. The wafer level test access mechanism transceiver circuitry also provides output test results from each of the pipelines for evaluation by a computerized test system. In one embodiment, the wafer level test access mechanism transceiver circuitry is wireless so that it wirelessly receives test data to be passed through the multiple pipelines on a wafer and also includes wireless transmit circuitry to transmit test results from each of the pipelines.
    Type: Grant
    Filed: April 28, 2010
    Date of Patent: March 19, 2013
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Sravan Kumar Bhaskarani
  • Patent number: 8402330
    Abstract: An IC includes an IEEE 1149.1 standard test access port (TAP) interface and an additional Off-Chip TAP interface. The Off-Chip TAP interface connects to the TAP of another IC. The Off Chip TAP interface can be selected by a TAP Linking Module on the IC.
    Type: Grant
    Filed: February 10, 2012
    Date of Patent: March 19, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8395424
    Abstract: A semiconductor device including an internal terminal, a first transistor of a first conductivity type that is coupled between a first reference potential and the internal terminal, and that includes a first control terminal, a second transistor of a second conductivity type that is coupled between a second reference potential and the internal terminal, and that includes a second control terminal, an oscillator that includes an output terminal to output a clock signal, and a comparator that is coupled to the internal terminal, and that compares a potential of the internal terminal when the internal terminal is coupled to the first reference potential with a potential of the internal terminal when the internal terminal is coupled to the second reference potential. Each control terminals is coupled to the output terminal to commonly receive the clock signal, and the first and second transistors exclusively operate in response to the clock signal.
    Type: Grant
    Filed: May 17, 2012
    Date of Patent: March 12, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Hiroyuki Kohamada
  • Patent number: 8392774
    Abstract: Scan architectures are commonly used to test digital circuitry in integrated circuits. The present disclosure describes a method of adapting conventional scan architectures into a low power scan architecture. The low power scan architecture maintains the test time of conventional scan architectures, while requiring significantly less operational power than conventional scan architectures. The low power scan architecture is advantageous to IC/die manufacturers since it allows a larger number of circuits (such as DSP or CPU core circuits) embedded in an IC/die to be tested in parallel without consuming too much power within the IC/die. Since the low power scan architecture reduces test power consumption, it is possible to simultaneously test more die on a wafer than previously possible using conventional scan architectures. This allows wafer test times to be reduced which reduces the manufacturing cost of each die on the wafer.
    Type: Grant
    Filed: June 23, 2011
    Date of Patent: March 5, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8392768
    Abstract: In a memory test system with advance features for completed memory system, the hardware components are independently configured to generate versatile test patterns for performing a programmable-loading test, a real case test, and a write-feedback test. The write-feedback test is employed to independently test a memory controller which is embedded in an integrated circuit without communicating with the external SDRAM. In the integrated circuit verification stage, the memory test system supports for analyzing and distinguishing the problems inside or outside of the integrated circuit, and testing individual write and read commands.
    Type: Grant
    Filed: March 30, 2011
    Date of Patent: March 5, 2013
    Assignee: Sunplus Technology Co., Ltd.
    Inventors: Chia-Hao Lee, Ming-Chuan Huang
  • Patent number: 8392777
    Abstract: Failure and repair information collected during self-testing of arrays in an integrated circuit is stored in a centralized array in the integrated circuit. In that way, a centralized array can be read out to provide failure and repair information on the arrays in the integrated circuit rather than having to read from each array. In addition, the failure and repair information may also be stored in the array under test for certain of the arrays.
    Type: Grant
    Filed: August 27, 2009
    Date of Patent: March 5, 2013
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Wei-Yu Chen, Kevin B. Badgett, Siegfried Kay Hesse, Timothy J. Wood
  • Patent number: 8386867
    Abstract: A method and apparatus for a computer memory test structure. An embodiment of a method for testing of a memory board includes testing a memory of the memory board, where testing the memory including use of a built-in self-test structure to provide a first test pattern for the memory. The method further includes testing an IO (input output) interface of the memory with a host, where testing of the IO interface includes use of the built-in self-test structure to provide a second test pattern for the IO interface.
    Type: Grant
    Filed: July 2, 2009
    Date of Patent: February 26, 2013
    Assignee: Silicon Image, Inc.
    Inventors: Chinsong Sul, Sungjoon Kim
  • Patent number: 8384395
    Abstract: A circuit for controlling temperature of a semiconductor chip includes a first heating element that is built into the semiconductor chip. The first heating element generates heat to increase the temperature of the semiconductor chip. The chip also includes a temperature controller that is coupled to the first heating element and built into the semiconductor chip. The temperature controller controls the temperature to enable testing of the semiconductor chip at a desired temperature.
    Type: Grant
    Filed: May 6, 2010
    Date of Patent: February 26, 2013
    Assignee: Texas Instrument Incorporated
    Inventors: Ravindra Karnad, Sudheer Prasad, Ram A Jonnavithula
  • Patent number: 8386865
    Abstract: An architecture for testing a plurality of circuits on an integrated circuit is described. The architecture includes a TAP Linking Module located between test pins on the integrated circuit and 1149.1 Test Access Ports (TAP) of the plurality of circuits to be tested. The TAP Linking Module operates in response to 1149.1 scan operations from a tester connected to the test pins to selectively switch between 1149.1 TAPs to enable test access between the tester and plurality of circuits. The TAP Linking Module's 1149.1 TAP switching operation is based upon augmenting 1149.1 instruction patterns to affix an additional bit or bits of information which is used by the TAP Linking Module for performing the TAP switching operation.
    Type: Grant
    Filed: July 26, 2012
    Date of Patent: February 26, 2013
    Assignee: Texas Instruments Incorporated
    Inventors: Baher S. Haroun, Lee D. Whetsel
  • Patent number: 8386864
    Abstract: A system and method of sharing testing components for multiple embedded memories and the memory system incorporating the same are disclosed. The memory system includes multiple test controllers, multiple interface devices, a main controller, and a serial interface. The main controller is used for initializing testing of each of the dissimilar memory groups using a serial interface and local test controllers. The memory system results in reduced routing congestion and faster testing of plurality of dissimilar memories. The present disclosure further provides a programmable shared built in self-testing (BIST) architecture utilizing globally asynchronous and locally synchronous (GALS) methodology for testing multiple memories. The built in self-test (BIST) architecture includes a programmable master controller, multiple memory wrappers, and an interface. The interface can be a globally asynchronous and locally synchronous (GALS) interface.
    Type: Grant
    Filed: January 30, 2012
    Date of Patent: February 26, 2013
    Assignee: STMicroelectronics PVT. Ltd.
    Inventors: Prashant Dubey, Akhil Garg, Sravan Kumar Bhaskarani
  • Patent number: 8384411
    Abstract: A method and device for measuring a signal of a die to be placed within a package is disclosed. At least one die as a Device Under Test (DUT) is mounted on a substrate and a chip-type measurement instrument is mounted on the substrate, or embedded into the substrate, wherein the instrument analyzes and/or processes the signal of the DUT and may provide stimulus signal to the DUT. The substrate having the DUT and the measurement instrument is mounted on a circuit board that has plural electrodes to be connected to the signal paths of the DUT and the instrument. An electrode is coupled to a standard interface port to provide the signal of the chip-type instrument to an external instrument.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: February 26, 2013
    Assignee: Tektronix, Inc.
    Inventors: Bart A. Mooyman-Beck, Robert J. Woolhiser, Kevin E. Cosgrove, Daniel G. Knierim
  • Publication number: 20130047046
    Abstract: A 2.5D or 3D test architecture includes a logic die, and a memory die. In the 2.5D architecture, the logic die and memory die are mounted on an interposer. In the 3D architecture, the memory die is mounted on the logic die. The logic die includes a control logic wrapped with a processor wrapper. The processor wrapper enables testing components of the control logic. The memory die is also mounted on the interposer. The memory die includes dynamic random access memory and channel selection/bypass logic. The control logic is coupled to the dynamic random access memory via the channel selection/bypass logic, the channel selection/bypass logic being controlled by the processor wrapper.
    Type: Application
    Filed: May 31, 2012
    Publication date: February 21, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Sandeep Kumar GOEL
  • Publication number: 20130047049
    Abstract: A device includes a first die coupled to an interconnect structure of an interposer. The first die includes a first BIST circuit configured to generate and output test signals to the interconnection structure of the interposer. A second die is coupled to the interconnect structure of the interposer and includes a second BIST circuit configured to receive signals from the interconnection structure of the interposer in response to the first BIST circuit transmitting the test signals. The second BIST circuit is configured to compare the signals received from the interconnection structure of the interposer to reference signals generated by the second BIST circuit.
    Type: Application
    Filed: August 15, 2011
    Publication date: February 21, 2013
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ji-Jan CHEN, Nan-Hsin Tseng, Chin-Chou Liu
  • Patent number: 8381052
    Abstract: A circuit and method of testing a memory and calculating a repair solution for a given address location includes pausing a built in self test (BIST) operation on detection of a failing memory output data of an integrated circuit. During the pause, the circuit and method analyzes “n” number of groups of the failing memory output data during “n” cycles using analysis logic and calculating a repair solution. Normal operations can be resumed.
    Type: Grant
    Filed: November 10, 2009
    Date of Patent: February 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: Valerie H. Chickanosky, Kevin W. Gorman, Suzanne Granato, Michael R. Ouellette
  • Patent number: 8378702
    Abstract: Apparatus and methods for non-contact testing of electronic components printed on a substrate (3) are provided. Test circuits (11) are printed on the substrate (3) at the same time as the desired electronic component. The test circuits (11) are all optical and include a first portion (13) for providing electrical energy for the test circuit (11) and a second portion (15) for generating a detectable optical signal that is indicative of at least one electrical property of the electronic component. The test circuits are used in real time and minimize the production of unusable scrap in the printing of such products as ePaper.
    Type: Grant
    Filed: May 8, 2009
    Date of Patent: February 19, 2013
    Assignee: Corning Incorporated
    Inventors: Robert Addison Boudreau, Douglas Edward Brackley, Kevin Thomas Gahagan, Gary Edward Merz, Leon Robert Zoeller, III
  • Patent number: 8378873
    Abstract: To decrease the burden of digital processing, provided is an AD conversion apparatus comprising a pattern generating section that, for each target bit specified one bit at a time moving downward in the output data, generates a pattern signal having a pulse width or number of pulses corresponding to a weighting of the target bit; an integrating section that integrates the pattern signals according to a judgment value for judging a value of the target bit each time a pattern signal is generated, and outputs a reference signal obtained by accumulating the integrated value of each pattern signal; a comparing section that, each time generation of a pattern signal is finished, compares the input signal to the reference signal; and an output section that outputs the output data to have values corresponding to the comparison results obtained after each generation of a pattern signal corresponding to a bit is finished.
    Type: Grant
    Filed: October 6, 2011
    Date of Patent: February 19, 2013
    Assignee: Advantest Corporation
    Inventor: Yasuhide Kuramochi
  • Patent number: 8375264
    Abstract: This disclosure describes a reduced pin bus that can be used on integrated circuits or embedded cores within integrated circuits. The bus may be used for serial access to circuits where the availability of pins on ICs or terminals on cores is limited. The bus may be used for a variety of serial communication operations such as, but not limited to, serial communication related test, emulation, debug, and/or trace operations of an IC or core design. Other aspects of the disclosure include the use of reduced pin buses for emulation, debug, and trace operations and for functional operations. In a fifth aspect of the present disclosure, an interface select circuit, FIGS. 41-49, provides for selectively using either the 5 signal interface of FIG. 41 or the 3 signal interface of FIG. 8.
    Type: Grant
    Filed: February 14, 2012
    Date of Patent: February 12, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8365026
    Abstract: Methods for performing a fail test, block management, erase operations and program operations are used in a nonvolatile memory device having a block switch devoid of a fuse and a PMOS transistor. A method for performing a fail test in a nonvolatile memory device includes performing a fail test for a memory cell block; storing good block information in a block information store associated with the corresponding block when the memory cell block is a good block; and repeating the performing and storing steps for all memory cell blocks.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: January 29, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jong Hyun Wang, Chae Kyu Jang, Se Chun Park
  • Publication number: 20130024739
    Abstract: A TAP linking module (21, 51) permits plural TAPs (TAPs 1-4) to be controlled and accessed from a test bus (13) via a single TAP interface (20).
    Type: Application
    Filed: September 27, 2012
    Publication date: January 24, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: TEXAS INSTRUMENTS INCORPORATED
  • Patent number: 8356223
    Abstract: A system including a frame capture module, a serializer, and a deserializer. The frame capture module is configured to receive, from a device under test, data corresponding to test results, and package the data into first data frames. The serializer is configured serialize the first data frames to form serial messages that include serialized data. The serializer includes i) a first serial link configured to output the serial messages according to a first clock domain, and ii) a second serial link configured to output the serial messages according to a second clock domain. The deserializer is configured to deserialize the serial messages received on the first serial link and the second serial link to form second data frames.
    Type: Grant
    Filed: April 13, 2012
    Date of Patent: January 15, 2013
    Assignee: Marvell International Ltd.
    Inventors: Saeed Azimi, Son Ho, Daniel Smathers
  • Patent number: 8356222
    Abstract: Fault diagnosis techniques for non-volatile memories are disclosed. The techniques are based on deterministic partitioning of rows and/or columns of cells in a memory array. Through deterministic partitioning, signatures are generated for identification of failing rows, columns and single memory cells. A row/column selector or a combined row and column selector may be built on chip to implement the process of deterministic partitioning. An optional shadow register may be used to transfer obtained signatures to an automated test equipment (ATE).
    Type: Grant
    Filed: March 5, 2010
    Date of Patent: January 15, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Nilanjan Mukherjee, Artur Pogiel, Janusz Rajski, Jerzy Tyszer
  • Patent number: 8356215
    Abstract: A testing apparatus for analyzing a memory module under test operating within an application system, wherein the memory module under test is coupled to a processor of the application system, is disclosed herein. In at least one embodiment, the testing apparatus comprises a first interface for coupling to the application system, a second interface for coupling to a reference memory module, a controller coupled to the first and second interfaces, at least one comparator, and a data logging unit. The data logging unit is configured to receive logging data from the controller and at least one test result from the at least one comparator, and to record, in a memory, at least a subset of the logging data, such that more specific details of memory errors revealed during behavioral testing of memory modules may be identified, examined, and stored for subsequent analysis.
    Type: Grant
    Filed: January 18, 2011
    Date of Patent: January 15, 2013
    Assignee: Kingtiger Technology (Canada) Inc.
    Inventors: Bosco Chun Sang Lai, Sunny Lai-Ming Chang, Lawrence Wai Cheung Ho, Shu Man Choi
  • Publication number: 20130013969
    Abstract: The various embodiments herein provide a method and a system for providing a bus transaction monitoring and debugging using FPGA. The system comprises a first FPGA, a second FPGA, application software and a communication interface to connect the second FPGA with the application software. The second FPGA comprises a monitor RTL for tapping data signals from different levels of the first FPGA, a transaction based signal trigger for capturing the signals tapped at different levels of the RTL, a monitor data interface for storing the data signals of interest and a packetizer for converting the signals to a plurality of data packets and transmit the data packets to the application software. The application software decodes the transmitted data packets and displays the transactions on a waveform viewer by communicating the information related to the data packets using a plurality of communication protocols.
    Type: Application
    Filed: May 17, 2012
    Publication date: January 10, 2013
    Applicant: WhizChip Design Technologies Pvt. Ltd.
    Inventors: RAVISHANKAR RAJARAO, SENTHIL KUMAR BALAN
  • Patent number: 8347157
    Abstract: Today many instances of IEEE 1149.1 Tap domains are included in integrated circuits (ICs). While all TAP domains may be serially connected on a scan path that is accessible external to the IC, it is generally preferred to have selectivity on which Tap domain or Tap domains are accessed. Therefore Tap domain selection circuitry may be included in ICs and placed in the scan path along with the Tap domains. Ideally, the Tap domain selection circuitry should only be present in the scan path when it is necessary to modify which Tap domains are selected in the scan path. The present disclosure describes a novel method and apparatus which allows the Tap domain selection circuitry to be removed from the scan path after it has been used to select Tap domains and to be replaced back into the scan path when it is necessary to select different Tap domains.
    Type: Grant
    Filed: March 22, 2012
    Date of Patent: January 1, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8347155
    Abstract: Various approaches for determining storage medium health. For example, a storage device is disclosed that includes a storage medium and a data processing circuit. The data processing circuit receives a data set derived from the storage medium. The data processing circuit includes a data detector circuit, a data decoder circuit, and a health detection circuit. The data detector circuit receives the data set and provides a detected output. The data decoder circuit receives a derivative of the detected output and provides a decoded output. The health detection circuit receives an indication of a number of times that the data set is processed through the combination of the data detector circuit and the data decoder circuit, and generates an indirect health status of the storage medium using the number of times that the data set is processed through the combination of the data detector circuit and the data decoder circuit.
    Type: Grant
    Filed: April 17, 2009
    Date of Patent: January 1, 2013
    Assignee: LSI Corporation
    Inventor: Shaohua Yang
  • Patent number: 8347159
    Abstract: The test data compression scheme is based on deterministic vector clustering. Test cubes that feature many similar specified bits are merged into a parent pattern in the presence of conflicts. The parent pattern along with a control pattern and incremental patterns representing conflicting bits are encoded efficiently. A tri-modal decompressor may be used to decompress the test data.
    Type: Grant
    Filed: March 5, 2010
    Date of Patent: January 1, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Grzegorz Mrugalski, Nilanjan Mukherjee, Janusz Rajski, Dariusz Czysz, Jerzy Tyszer
  • Patent number: 8341476
    Abstract: A built-in self test (BIST) method and system for testing a memory included on an integrated circuit includes activating a component of the integrated circuit, partitioning the memory into a first part for use by non-BIST components and second part for BIST, and executing BIST on the second part of the memory while the component is operating. While the BIST is executing, the non-BIST components can access the first part of the memory and perform normal functional operations. The BIST method and system finds memory faults that are related to an I-R voltage drop due to the physical placement of the memory relative to power supply sources.
    Type: Grant
    Filed: October 28, 2009
    Date of Patent: December 25, 2012
    Assignee: Marvell International Ltd.
    Inventors: Hsiu-Ping Peng, Jae-Hong Lee
  • Publication number: 20120324302
    Abstract: An integrated circuit configured for testing is described. The integrated circuit includes a high-speed input/output interface. The integrated circuit also includes a test controller coupled to the high-speed input/output interface. The integrated circuit further includes test circuitry coupled to the test controller. The test controller controls the test circuitry based on controller protocol test information from the high-speed input/output interface.
    Type: Application
    Filed: March 21, 2012
    Publication date: December 20, 2012
    Applicant: QUALCOMM INCORPORATED
    Inventors: Baris Arslan, Michael Laisne, George Alan Wiley, Geoffrey D. Shippee